JP4640917B2 - 基板支持体 - Google Patents

基板支持体 Download PDF

Info

Publication number
JP4640917B2
JP4640917B2 JP2004055056A JP2004055056A JP4640917B2 JP 4640917 B2 JP4640917 B2 JP 4640917B2 JP 2004055056 A JP2004055056 A JP 2004055056A JP 2004055056 A JP2004055056 A JP 2004055056A JP 4640917 B2 JP4640917 B2 JP 4640917B2
Authority
JP
Japan
Prior art keywords
roller
substrate
support member
spacer
spacers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004055056A
Other languages
English (en)
Other versions
JP2004260191A (ja
Inventor
ニューエン アンドリュー
シュナイダー ジャーハード
ホソカワ アキヒロ
マツモト タカユキ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2004260191A publication Critical patent/JP2004260191A/ja
Application granted granted Critical
Publication of JP4640917B2 publication Critical patent/JP4640917B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Re-Forming, After-Treatment, Cutting And Transporting Of Glass Products (AREA)

Description

発明の内容
[0001]本出願は、2001年9月24日出願の米国特許出願第09/963,020号(代理人事件整理番号6181/AKT/BG)と2001年10月17日出願の米国特許出願第09/982,406号(代理人事件整理番号6199/DISPLAY/AKT/BG)に関連するものであり、これらの開示内容は本明細書に援用されている。
発明の背景
発明の分野
[0002]本発明の実施形態は、基板支持体に関する。
関連技術の説明
[0003]薄膜トランジスタは、これまで、モニタ、フラットパネルディスプレイ、太陽電池、個人用の携帯情報端末(PDA)、携帯電話等に用いられる大きなガラス基板又はプレート上に作られてきた。トランジスタは、真空チャンバ内でアモルファスシリコン、ドープされた酸化ケイ素やドープされていない酸化ケイ素の双方、窒化ケイ素等を含む様々な膜の連続堆積によって作られる。薄膜の堆積に利用される一つの方法は、化学気相堆積(CVD)である。
[0004]CVDは、基板はほぼ摂氏300度から摂氏400度の温度に耐えることを必要とする比較的に高温のプロセスであり、現像時には摂氏500度を超える。CVD膜処理によって、基板上の集積回路の製造において広範な使用が見出された。しかしながら、ガラス基板は高温に加熱された時に非常に脆く、たるみ、ゆがみ、ひび割れしやすい誘電物質であるので、熱応力や加熱と冷却の間に生じる損傷を避けるために注意しなければならない。
[0005]現在、処理前に基板を予熱するとともに後処理熱処理動作を行うシステムが存在する。従来の加熱チャンバは、個々の又は複数のガラス基板を熱するために1つ以上の加熱棚を持っている。ガラス基板は、熱均等性とスループットを改善するために、典型的にはスペーサ上の棚の上に支持される。コストをできるだけ下げるために、従来のスペーサは、典型的にはステンレス鋼、アルミニウム、窒化アルミニウム等の容易に機械処理された金属から形成されている。しかしながら、従来のスペーサは、恐らくガラス表面の欠陥に起因してガラス基板の表面を傷つけ、或いは損傷することがある。例えば、低温ポリシリコン膜を作るアニーリングは、900mmの幅の基板において約4mmの熱膨張を引き起こし得る、摂氏約550度までガラス基板を熱することを必要とする。この熱膨張によって、ガラス基板が加熱と冷却中に支持されるスペーサを横切って移動するガラス基板が生じる。ガラス基板とスペーサとの間に生じる摩擦は、ガラス基板における引っ掻き傷、ひび割れ、他の変形欠陥を引き起こすことがわかった。例えば、基板は、基板を複数のパネルに分割する切断プロセス中に所望の位置に沿う代わりに引っかき傷又は他の欠損に沿って破損することがあり、1つ以上のパネルが欠陥になる。
[0006]従って、処理中に基板損傷を減少させる支持体が求められている。
発明の概要
[0007]ボールが基板支持体とその上に支持された基板間の損傷をできるだけ少なくするように適合した、基板を支持する装置を提供する。一実施形態においては、基板を支持する装置は傾斜したボール支持面上に配置されたボールを含んでいる。ボール支持面は、ボール支持面の片側に向かってボールが片寄るように適合し、よってその上に支持された基板が熱の影響に晒された時に長さが変化するのにつれてボールが回転する隙間ができる。他の実施形態においては、装置は、ボールをボール支持面に捕らえるように適合した保持器を更に含んでいる。
[0008]添付図面に示される実施形態によって、上記で簡単に纏められた本発明を具体的に説明することができる。しかしながら、添付された図面が、本発明の典型的な実施形態だけを説明しているので、その範囲を制限するものとみなされるべきでない。従って、本発明は他の等しく有効な実施形態を認めるものである。
[0025]理解を容易にするために、可能なところならどこでも、図面に共通である同じ要素を示す同じ符号を用いた。
詳細な説明
[0026]本発明は、一般には、基板損傷を減少させるのに有利に適した基板を支持するためのスペーサに関する。スペーサは基板が温度の変化を受けるチャンバに特に用いられるが、スペーサが基板を引っ掻くことを避けることが望まれる他のチャンバでの使用にも適している。
[0027]図1−図2は、ガラス基板32を格納及び/又は熱処理するのためのカセット90を持つ加熱チャンバ10の一実施形態の側断面図と平面図である。加熱チャンバ10は、カセット90を収容する側壁86、上部82、底部84を含んでいる。ポート96は、処理システム(図示せず)に隣接している側壁86を通って形成され、ガラス基板32が加熱チャンバ10内の処理システムとカセット90の間で搬送することができるスリットバルブ94を備えている。
[0028]カセット90は、軸92によって加熱チャンバ10内に移動できるよう支持されている。軸92は、チャンバ10の底部84を通って伸び、チャンバ10内のカセット90の上昇を制御するリフトメカニズム88に結合している。ベローズ78又は他のシーリング要素は、軸92の周りに配置され、カセット90の移動を容易にしつつチャンバ環境の隔離を維持している。
[0029]カセット90は、側壁12、14、底部16、リッド18を含んでいる。カセット90の側壁12、14は、典型的にはカセット90の温度を制御するために適切な加熱素子20、22を備えている。加熱素子20、22は抵抗ヒータ及び/又は熱伝達ガス又は液体を循環させるためのコンジットであってもよい。
[0030]一実施形態では、カセット90の底部16は、加熱素子20、22による温度制御流体の経路のための入口と出口のチャネル24、26を含んでいる。チャネル34は、電源(図示せず)に接続される加熱素子20、22の経路センサー及び/又は電線のために底部16に形成されることもできる。
[0031]複数の支持部材28は側壁12、14の間に配置されている。支持部材28の各々は、一ガラス基板32をその上に支持するように適合している。図1−図2に示された実施形態においては、支持部材28は対向する端部が壁12、14に結合した熱導電性棚である。支持部材28は壁12、14と良好に熱接触をさせるように構成され、よって加熱部材20、22によってその上に配置された支持部材28とガラス基板32の温度を急速且つ一様に制御させることができる。支持部材28に用いることができる物質の例としてはアルミニウム、銅、ステンレス鋼、クラッド銅等が挙げられるがこれらに限定されない。また、加熱部材20、22の少なくとも一部は支持部材28に埋め込まれてもよい。
[0032]図2に示されるように、ガラス基板32(想像線で示されている)の周囲を支持するように1つ以上の外部スペーサ30が支持部材28に適切に配列している。ガラス基板32の内部を支持するために、1つ以上の内部スペーサ50が支持部材28上に配置されている。図2に示された実施形態では、3つの外部スペーサ30がガラス基板32の周囲を支持するために支持部材28の対向する側に配置され、ガラス基板32の中心部を支持するために2つの内部スペーサ50が外部スペーサ30の内部に配置されている。スペーサ30、50の間の他の配置もまた用いることができる。例えば、外部スペーサ30の各々は、支持部材28の中心線80に相対する内部スペーサ50の少なくとも1つと半径方向に整列することができる。典型的には、基板32は支持部材28の中心線上の中央に支持される。
[0033]最初の図1に戻ると、スペーサ30、50は、カセット90内のガラス基板32を支持するのに役立つので、支持部材28とガラス基板32の間に画成されたギャップ44がある。ギャップ44は、ガラス基板32に圧力がかかり割れることになり、汚染物質が支持部材28からガラス基板32に搬送することにもなる、支持部材28とガラス基板32の直接の接触を防止する。カセット90内のガラス基板32は、ガラス基板32と支持部材28の間の直接の接触よりはむしろ放射線やガス伝導によって間接的に加熱される。更に、ガラス基板32と支持部材28とを交互配置すると、上下両方からガラス基板32の加熱を可能にし、よってガラス基板32の急速に且つ一様に加熱する。
[0034]図3は、内部スペーサ50の一実施形態の断面図である。内部スペーサ50は、典型的にはステンレス鋼で構成され、円筒形である。内部スペーサ50は、第1端部302と第2端部304を有する。第1端部302は、支持部材28上に配置されている。第2端部304は、支持部材28に相対して隔置された関係でガラス基板32(想像線で示されている)を支持している。第2端部304のエッジ部は、典型的にはガラス基板32を引っ掻く可能性を最小限にするためにラジアス又は面取り部306を含んでいる。第2端部304は、また、ガラス基板32の接触エリアを最小限にする十分なラジアスを含んでいてもよい。典型的には、内部スペーサ50は支持部材28上でガラス基板32を固定させるために多少の摩擦を与えるよう構成されている。
[0035]図4Aは、外部スペーサ30の一実施形態の断面図である。内部スペーサ50は、外部スペーサ30と同様に構成されていてもよい。外部スペーサ30を形成するために用いられる物質は、製造の容易さ、ある実施形態においては、低コストのために選ぶことができる。外部スペーサ30の製造に適した物質としては、中でもステンレス鋼、低炭素鋼、ICONEL(登録商標)、又はニッケル合金が挙げられる。
[0036]外部スペーサ30は、一般に、第1部分402と第2部分404を含んでいる。第1部分402は、支持部材28上に配置され、典型的には円筒形の断面をもつが、他の形を用いることもできる。第2部分404は、ボール408をその上に支持するボール支持面406を含んでいる。ボール408は、ガラス基板32と接触し、基板支持体28上に基板を支持し、ボール支持面406の片側に向かって片寄っている。
[0037]一実施形態では、ボール支持面406は片寄っている部材として構成された機能があり、ボール支持面406の片側に向かってボール408を押し付ける。ボール支持面406は、平らでも曲がっていてもよく、一実施形態においては、基板支持体28によって画成される平面に相対して傾斜している。ボール支持面406の傾斜は、重力がボール支持面406の片側に向かってボール408を片寄らせることができる。典型的には、ボール支持面406は、約5度未満の角度で、基板支持体28の中心線80に向かって傾斜する。図4Aに示された実施形態では、ボール支持面406は約0.5〜約1.5度傾斜している。傾斜角は、ボール408の回転を確実にするためにガラス基板32の重量とガラス基板32と支持面406との間の摩擦との間の関係を考慮することにより求めることができ、ガラスが膨張し収縮するにつれてガラス基板を引っ掻くことをかなり防止する。
[0038]一実施形態では、ボール支持面406は外部スペーサ30の第2部分404に形成されたソケット410の底部で画成されている。ソケット410は、より高い部分414と低い部分416を持つ壁412を含んでいる。より高い部分414は、典型的には基板支持体28の中心線80の方に向かって置かれ、基板32がチャンバ10内で加熱される適用においては、少なくともボール408の直径の1/2と同じくらいの高さであってもよい。逆に、より高い部分414は、基板32が冷却される適用においては中心線80から離れて置かれてもよい。
[0039]壁412のより高い部分414とより低い部分416の間の決められた距離420は、一般に、基板32が基板32の温度の変化に従って膨張又は収縮するにつれてボール408がボール支持面408を横切って予め決められた距離を移動することができるように構成されている。例えば、低温の基板32は、基板支持体28の中心線80に向かって片寄り、基板支持体28上で加熱されるボール408上に置かれる。基板32がその中心から外の半径方向に膨張するにつれて、基板は基板32と基板支持体28の中心線80から外の半径方向の向きにボール408を押し付け、壁412のより高い部分414からより低い部分416へボール指示面406を横切ってボール408を回転させる。距離420は、基板支持体28上に配置される間、予め決められた温度上昇によって予想される基板膨張についてボール408の移動を適応させるように選ばれるので、ボール408と基板32の間の接触回転を確実にすることは有利であり、よって基板を損傷させることがあるボール408と基板32との間のスライド接触を防止する。高温の基板が冷却のためにボール上に支持される実施形態においては、ボール支持面の傾斜は、支持部材28の中心から離れているので、冷却しつつ基板が収縮するにつれてボールの移動を示す向き430は支持部材28の中心に向けられている。
[0040]一実施形態では、外部スペーサ30の第1部分402は、中空部422が支持部材28から突出している取り付けのピン424を受けるように適合されている。ピン424は、カセット90の内部のその典型的な支持部材28上の外部スペーサ30の位置を決める。典型的には、ボール支持面406の傾斜が上記中心線80と整列するように外部スペーサ30は定置されている。外部スペーサ30は、保持化合物、杭打ち、プレス嵌め、溶接、リベット締め、ネジ締め等を用いてこの向きに固定される。支持部材28に外部スペーサ30の実施形態を取り付け又は固定する他の方法も企図されることは理解されるべきである。
[0041]図4Aと図5の断面図に示された実施形態では、ピン424と中空部422は予め決められた回転の向きにかみ合うように固定されている。一実施形態では、ピン424は外部スペーサ30の第2部分404から中空部422の中に突出しているランド428に対してかみ合っている平面426を含んでいる。平面426とランド428の間の境界は、基板支持体30とその上に位置した基板に相対するスペーサの向きを確実にする。他のかみ合っている形もスペーサ30と支持部材28の間の角度の向きを固定するように企図される。
[0042]図4Bは、外部スペーサ450の他の実施形態である。外部スペーサ450は上面452と底面454を含んでいる。底面454は、支持部材28上に取り付けるように適合している。ボール支持面456は、上面452において形成され、スペーサ450の上面452に相対して隔置された関係で基板32を支持するボール408を受けるように適合している。
[0043]ボール支持面456は、湾曲面460によって第2傾斜面462に結合した第1傾斜面458を含んでいる。第1傾斜部分458は、スペーサ450の中に形成され、ボール支持面456によって画成された溝490の第1側面466から始まり、スペーサ450の中心線470を通って湾曲面460に伸びている。湾曲面460は、一般に、半径がボール480の半径とほぼ同じか又は大きく、ボール408の中心線476がスペーサ450の中心線470からの距離472を埋め合わせるような向きでボール支持面456によってボール408が配置されるので、基板432が膨張又は収縮する向きにボール408が自由に回転することができる。
[0044]第2傾斜面462は、湾曲面460から溝490の第2壁464まで伸び、ボールが湾曲面460に静止している時にボール408が第2壁464から距離474を隔置するように構成されている。距離474は、振動又は他の計画されていない運動のような、基板32を損傷させずに棚28に相対して基板32のわずかな運動を適応させるためにボール支持面456の第2傾斜部分462に沿ってボール408を移動させることができる。
[0045]図6は、ボール408の一実施形態の断面図である。ボール408は球として示されているが、ボール408は、円筒形、楕円形ピン、カム等のボール支持面406上を横に回転するよう構成された他の形であってもよい。ボール408は、金属か又は非金属の物質のどちらかで構成されてもよい。ボール408は更に、ボール408とガラス基板32との間の摩擦を減少させ及び/又は化学反応を抑制することができる。典型的には、ボール408は金属又は合金、水晶、サファイア、窒化ケイ素又は他の適切な非金属物質から構成される。一実施形態においては、ボール408の表面仕上げは、4マイクロインチ又はそれより滑らかである。
[0046]場合によっては、ボール408はコーティング層602でを電解研磨、被覆又はめっきすることができる。例えば、コーティング層602は、ボール408とガラス基板32の間の摩擦を減少させるバリヤ層を与えるのに十分な厚さがあってもよい。ガラス基板32とボール408との間の摩擦の減少は、ガラス基板32がガラス基板32とボール408との間の接触によって引き起こされる損傷をかなり防止する。コーティング層602は加えて又は代わりにボール408を含む物質とガラス基板32との間の化学反応を減少させることができる。代替的実施形態においては、スペーサ30、50の他の部分は、その間の摩擦及び/又は化学反応を減少させるために同様に被覆することができる。
[0047]ボール408とガラス基板32との間の摩擦を減少又は除去することができるコーティング層602は、化学気相成長(CVD)硝化プロセス、物理気相成長(PVD)スパッタリングプロセス、噴霧、めっき又は他のプロセスによって堆積させることができる。一実施形態においては、コーティング層602の厚さは、少なくとも約3ミクロンである。他の実施形態においては、コーティング層602は、約3ミクロン〜約20ミクロンの間の厚さに形成される。他の例では、上記ボール408は、反応チャンバ内に置くことができ、ボール408の暴露面上に硝化コーティング層を形成するためにアンモニア、及び/又は窒素、及び/又は水素、及び/又は他の還元ガスを含む環境にさらすことができる。他の実施形態では、コーティング層602はボール408の外面上に硝化表面を形成するためにPVDのようなスパッタリングプロセスによって形成され、例えば、窒化チタンを含んでいる。
[0048]表面コーティング層602は、一般的にはボール408に滑らかな外面を与える。表面被覆層602の上記代替的実施形態は、少なくともボール408の本来の仕上げと同様の滑らかな表面を維持することが考えられる。また、コーティング層602は、例えば、電解研磨又は他の方法でコーティング層602の仕上げを改善するために処理されてもよい。上記表面コーティング層602を持つ内部スペーサ50は、内部スペーサ50上に支持されたガラス基板32間の摩擦を減少させ、ある実施形態においては、更に又は代わりにボール408内の汚染及び/又はその上に配置されたガラス32との間の化学反応を減少させる。場合によっては、コーティング層602は外部スペーサ30に適用されてもよい。
[0049]本発明の態様に従って製造された内部スペーサ50が摂氏250度以上で行われる熱処理動作に適していることは理解されるべきである。他の熱処理動作は、低温ポリシリコンの製造に用いられる熱処理プロセスのような本発明の内部スペーサ50を用いて行われてもよい。適用やガラス材料の性質によっては、本発明に従って製造されたスペーサ50が摂氏約450度より高く摂氏約600度以下で行われる熱処理動作に適していると考えられる。上記表面コーティング層602が、ボール408とガラス基板32との間で汚染物質か又は金属との間の反応を防止するバリヤ層としても作用しつつ、支持すべきボール408とガラス基板32間の摩擦による損傷の可能性を共に減少させる防御層を更に設けることができると考えられる。
[0050]内部スペーサ50の実施形態を、基板損傷を減少させる中心支持体として図示し、説明してきた。上記実施形態は中心支持体として内部スペーサ50を示しているが、従来の外部スペーサ30はガラス基板32の周囲の支持体に用いることができる。外部スペーサ30の一部又は全部が内部スペーサ50と同様に又は同一に構成されてもよい。
[0051]内部スペーサ50が具体的な物質に関して記載してきたが、他の熱処理適用が他の異なる物質から製造されたスペーサ50を用いることができ、上記のもの以外のコーティング層602の代替的物質を用いることができる。
[0052]図7−図8は、外部スペーサ700の他の実施形態を示す図である。外部スペーサ700は、ボール720が保持器704によってその上に配置され、それに捕らえられた支持本体702を含んでいる。ボール720の一部は、保持器704を通って伸びて支持部材28に相対して隔置された関係で基板730を支持する。
[0053]支持本体702は、一般的には、上記外部スペーサと同様に構成され、第1部分708と第2部分706を含んでいる。第1部分708は、支持部材28から伸びているピン712とインタフェースする中空部710を含んでいる。中空部710とピン712は、一般的にはボール720が基板32の中心とほぼ整列する向き430に移動するようにスペーサ700を定置させるように構成される。
[0054]第2部分706は、ボール720の一部が配置されている溝714を含んでいる。溝714は、外部スペーサ700の片側に向かってボール720を片寄らせる傾斜ボール支持面716を含んでいる。ボール支持面716は、ボール720が保持器704と接触せずに基板32を支持することを確実にする深さで配置される。
[0055]保持器704は支持体702の第2部分706に結合し、高温で用いるのに適した多くの物質、典型的には支持本体702と同様の物質から製造することができる。保持器704は、取り囲んでいる円筒形フランジ734がそこから下向きに伸びている上面732を含んでいる。スロット750は、保持器704のトップ732を通って形成され、ボール720の一部がそれを通って伸びることができる。スロット750は、典型的には、熱の影響により基板が膨張又は収縮するにつれて基板32の中心と整列した向き430でボール740を移動させるように置かれている。
[0056]保持器704のフランジ734は、典型的には保持器704を支持本体702に保持させるために支持本体702の第2部分706とインタフェースしている。保持器704は、ネジ切、付着、リベット締め、杭打ち、プレス嵌め、ろう付け、溶接、留め具又は他の適した方法で支持本体702に結合することができる。図7に示される実施形態においては、方法に適した少なくとも一つのファスナ740は、フランジ734を通って支持本体702の第2部分706に形成された穴742の中に形成された穴736を通って配置されている。穴736、742とファスナ740は、向き430にスロット750を整列させることを確実にする。ファスナ740は、ネジ、ドウェルピン、スプリングピン、リベット、ドライブピン、又は他の適切な装置であってもよい。
[0057]図9と図10は、外部スペーサ900の他の実施形態を示す図である。その外部スペーサは、保持器904がそれに結合した支持本体902を含んでいる。支持本体902と保持器904は、保持器904内に形成されたスロット906の幅が、支持本体902の中に形成された溝918の底に配置された傾斜ボール支持面910上に支持された保持器904によって保持されたボール920の直径とほぼ同じか又はそれより大きいことを除いて、上記外部スペーサ700と実質的に同様である。ワッシャー912はボール920と保持器904との間に置かれ、外径がスロット906の幅より大きく、よって支持本体902に形成された溝918の中にボール920が保持される。ワッシャー912の内径916の直径は、ボール920の周囲が保持器904より上に伸びることができるのに十分であり、よって支持部材28に相対して隔置された関係で基板932が維持される。
[0058]図11は、外部スペーサ1100の他の実施形態を示す図である。外部スペーサ1100は、支持部材28内に形成された溝1104に配置されたボール1102を含んでいる。溝1104は、上記外部スペーサ内に形成された溝とほぼ同様に構成され、溝1104の片側にボールを片寄らせるように構成された傾斜ボール支持面1106を含んでいる。溝1104の深さは、ボール1102の周囲が支持部材28の表面より上に伸びるように構成され、よって支持部材28に相対する隔置された関係で基板32を維持する。
[0059]図12は、外部スペーサ1200の他の実施形態を示す図である。外部スペーサ1200は、ボール1202が、支持部材28内に形成された溝1204の底部に配置されたボール支持面1206上に配置された、外部スペーサ1100と同様に構成されている。ボール1202は、支持部材28に結合した保持器1208によって溝1204内に保持されている。保持器1208は、溶接、ブレイズ、ネジ、リベット、杭打ち、付着、結合、リベット締め、又は他の適切な方法又は装置によって支持部材28に固定させることができる。図12に示される実施形態においては、保持器1208は、支持部材28内にねじ込まれた複数のファスナ1210によって支持部材28に留められる。
[0060]保持器1208を通って形成されたスロット1212は、図7と図8の実施形態に関して記載されるように、ボール1202を直接保持し、或いは図9と図10の実施形態に関して記載されるように、ワッシャー(図12に図示されていない)を用いるように構成されてもよい。
[0061]図13は、外部スペーサ1300の他の実施形態を示す図である。外部スペーサ1300は、ボール1302がボール支持面1306に配置された、上記外部スペーサと同様に構成されている。ボール支持面1306は、平らでも曲がっていてもよく、傾斜してもボール1302によって支持された基板32(想像線で図示されている)とほぼ平行でもよい。ボール支持面1306は、スペーサ又は基板支持体内に形成されてもよく、上記ボール1302を保持するために保持器と相互作用してもよい。
[0062]付勢部材1310は、ボール支持面1306の片側に向かってボールを押し付ける。付勢部材1310は、スライダ1314に結合したばね1312を含んでいる。スライダ1314は、典型的には基板が膨張又は収縮するにつれてボール1314がボール支持面1306を横切って横に回転するのでボール1302をスライダ1314の接触面1316を横切って滑らせることができる物質から製造される。圧縮ばねとして図13に示されるばね1312は、また、水平ネジ又は他のネジ形態又は基板が取り除かれる時にボール支持面1306の所定のスライドにボール1302を戻しつつ基板が長さを変更するのでボール1302が回転することができる装置であってもよい。
[0063]本発明をガラス基板32との使用に記載してきたが、本発明の内部スペーサの他の実施形態は、内部スペーサと異なる基板材料間の摩擦損傷及び/又は化学反応を減少させるために用いることができる。本発明を上記加熱システム10に用いられるように記載してきたが、他の熱処理システムやチャンバを用いることができる。本発明の方法と装置は、本発明の実施形態が用いられているチャンバの種類に独立して且つ無関係に実施することができる。
[0064]図14は、ロードロックチャンバ1400とそこに配置された少なくとも一つの内部スペーサ50の一実施形態を示す断面図である。ロードロックチャンバ1400は、一般的には2つのガラス搬送ポート1404(1つだけが図14で示されている)を持つチャンバ本体1402を含んでいる。各ガラス搬送ポート1404は、スリットバルブ1408(想像線で示されている)によって選択的にシールされている。ロードロックチャンバ1400は、最初の雰囲気と真空雰囲気との間で配置され、例えば、搬送ポート1404にそれぞれ結合したチャンバ(図示されていない)に含まれ、真空の損失のない隣接した搬送ポート1404を通って真空雰囲気の内外へガラス基板32の搬送を可能にするように用いられる。
[0065]チャンバ本体1402は、更に、チャンバ本体1402内の圧力を調節することができるポンピングポート1410を含んでいる。場合によっては、チャンバ本体1402は、真空状態からチャンバ本体内に圧力を上げるための通気口1412を含んでもよい。典型的には、通気口1412を通ってチャンバ1400に入る空気又は流体は、チャンバ1400に入る微粒子をできるだけ少なくするためにフィルタ1414を通過する。そのようなフィルタは、一般にニュージャージー州、リバーデイルにあるCamfil-Farr社から入手できる。
[0066]カセット1406は、移動できるようにチャンバ本体1402に配置され、エレベータシャフト1420に結合した下プレート1416と上プレート1418を含んでいる。カセット1406は、上プレート1418から伸びている1つ以上のスペーサ30と少なくとも1つのスペーサ50上に支持された下プレート1416と第2基板(図示されていない)から伸びている1つ以上のスペーサ30と少なくとも1つのスペーサ50上の第1基板32を支持するように構成される。カセット1406は、ポート1404を備えたカセット1406で支持される基板のいずれか1つを整列させるために上げることができ、下げることもできる。
[0067]チャンバ本体1402は、冷却プレート1422を含んでもよい。冷却プレート1422は、下プレート1416から伸びているスペーサ30、50を通過させる複数の穴を有する。カセット1406が下がるにつれて、スペーサ30、50に設置される基板32は、冷却プレート1422に近づいていく。冷却プレート1422を通って循環する熱伝達流体は、基板32から冷却プレート1422へ伝達された熱を取り除き、それによって、基板32の温度を下げる。従って、スペーサ50は、その基板を擦傷或いは損傷させずに、ロードロック1400内で基板32を膨張させるか又は収縮させることができる。本発明から利益を得るために適合させることができる一つのロードロックチャンバは、1999年12月15日出願(代理人事件整理番号3790)の米国特許出願第09/464,362号に記載されており、この内容は本明細書に援用されている。
[0068]図15は、ロードロックチャンバ1500とそこに配置された少なくとも1つの内部スペーサの他の実施形態を示す断面図である。ロードロックチャンバ1500は、一般的には二つのガラス搬送ポート1504(1つだけが図15に示されている)を持つチャンバ本体1502を含んでいる。各々のガラス搬送ポート1504は、(想像線で示されている)スリットバルブ1508によって選択的にシールされている。ロードロックチャンバ1500は第1雰囲気と真空雰囲気との間に配置され、例えば搬送ポート1504にそれぞれ結合したチャンバ(図示されていない)に含まれ、真空の損失のない隣接した搬送ポート1504を通って真空雰囲気の内外へのガラス基板32(想像線で示されている)の搬送を可能にするために用いられる。
[0069]複数の基板32は支持部材1560(明瞭にするために基板32の1つだけが図15に示されている)上のチャンバ本体1502内で各々支持されている。支持部材1560は、チャンバ本体1502に結合されてもよく、可動カセット1562内に配置されてもよい。図15に示される実施形態においては、可動カセット1562は少なくとも1つの内部スペーサ30と12個の縦に積み重ねられた支持部材1560に結合した複数の外部スペーサ50を含んでいる。従って、基板32が膨張又は収縮するにつれて基板を擦傷或いは損傷させずに基板32はスペーサ50上に移動することがきる。本発明から利益を得るために適合することができる、1つのロードロックチャンバは、カリフォルニア州サンタクララのアプライドマテリアルズ社の一部門であるAKTから入手できる。
[0070]このように、ボールが傾斜基板支持体上に配置された基板を支持するための装置が提供される。該装置は、ボールが基板の運動によって押し付けられた向きに妨げられない回転をすることができることを確実にするボールの位置を決めるために傾斜ボール支持面が形成されるので、基板の熱膨張(又は収縮)中にその上に支持された基板支持体と基板との間の損傷を最小にするために適合されている。
[0071]上記は本発明の実施形態に関するが、基礎的な発明の範囲から逸脱せずに発明の更に多くの実施形態を講じることができ、その範囲は前記特許請求の範囲によって求められる。
複数の支持部材とスペーサを有する加熱チャンバの一実施形態の断面図である。 複数のスペーサがその上に配置された棚/支持部材の一実施形態の平面図である。 従来のスペーサの一実施形態の側面図である。 図4Aは、本発明のスペーサの、一実施形態の断面図である。 図4Bは、本発明のスペーサの他の実施形態の断面図である。 図4Aの断面線5−5に沿って切り取ったスペーサの断面図である。 ボールの一実施形態の断面図である。 本発明のスペーサの、他の実施形態の断面図である。 図7のスペーサの平面図である。 本発明のスペーサの、他の実施形態の断面図である。 図9のスペーサの平面図である。 本発明のスペーサの、他の実施形態の断面図である。 本発明のスペーサの、他の実施形態の断面図である。 本発明のスペーサの、他の実施形態の断面図である。 複数のスペーサが上部に配置された支持部材の、ロードロックチャンバの一実施形態の断面図である。 複数のスペーサが上部に配置された支持部材の、ロードロックチャンバの他の実施形態の断面図である。
符号の説明
10…加熱チャンバ、12…側壁、14…側壁、16…底部、20…加熱素子、22…加熱素子、24…入口チャネル、26…出口チャネル、28…支持部材、30…外部スペーサ、32…ガラス基板、34…チャネル、44…ギャップ、50…内部スペーサ、78…ベローズ、80…中心線、82…上部、84…底部、86…側壁、88…リフトメカニズム、90…カセット、92…軸、94…スリットバルブ、96…ポート、302…第1端部、304…第2端部、306…ラジアス又は面取り部、402…第1部分、404…第2部分、406…支持面、408…ボール、410…ソケット、412…壁、414…高い部分、416…低い部分、420…距離、422…中空部、424…ピン、426…平面、428…ランド、430…向き、450…外部スペーサ、452…上面、454…底面、456…支持面、458…第1傾斜面、460…湾曲面、462…第2傾斜面、464…第2壁部、466…第1側面、470…中心線、472…距離、474…距離、476…中心線、480…向き、490…溝、602…コーティング層、700…外部スペーサ、702…支持本体、704…保持器、706…第2部分、708…第1部分、710…中空部、712…ピン、714…溝、716…ボール支持面、720…ボール、732…上面、734…フランジ、736…穴、740…ファスナ、742…穴、750…スロット、900…外部スペーサ、902…支持本体、904…保持器、906…スロット、910…ボール支持面、912…ワッシャー、914…外径、918…溝、902…支持本体、916…内径、920…ボール、932…基板、1100…外部スペーサ、1102…ボール、1104…溝、1106…支持面、1200…外部スペーサ、1202…ボール、1206…ボール支持面、1208…保持器、1210…ファスナ、1212…ファスナ、1300…外部スペーサ、1302…ボール、1306…ボール支持面、1310…付勢部材、1312…ばね、1314…スライダ、1400…ロードロックチャンバ、1402…チャンバ本体、1404…搬送ポート、1406…カセット、1408…スリットバルブ、1410…ポンピングポート、1412…通気口、1414…フィルタ、1416…下プレート、1418…上プレート、1420…エレベータシャフト、1422…冷却プレート、1500…ロードロックチャンバ、1502…チャンバ本体、1504…トランファポート、1508…スリットバルブ、1560…支持部材、1562…可動カセット。

Claims (43)

  1. 少なくとも1つの基板支持部材がチャンバ内に配置されたチャンバ内に基板を支持する装置であって、
    上面を有する基板支持部材と、
    該上面上に配置された複数のスペーサと、
    該複数のスペーサの少なくとも一つに形成されたローラ支持面であって、該ローラ支持面は、該基板支持部材の該上面によって画成された平面に対して傾斜する、ローラ支持面と、
    該ローラ支持面上に配置され且つ該ローラ支持面上において横に移動するように適合したローラであって、該ローラは、該複数のスペーサの該少なくとも一つのスペーサの面と隔置された関係で該基板と接触し支持するように適合し、該ローラ支持面の下部に付勢された、前記ローラと
    含む、前記装置。
  2. 該ローラ支持面水平から0.5〜2度の角度で傾斜する、請求項1記載の装置。
  3. 該ローラの一部が伸びている上向きのスロットを持つ、該ローラを該ローラ支持面に保持する保持器を更に含む、請求項1記載の装置。
  4. 該ローラと該保持器の上部との間に配置され且つ外径が該スロットの幅より広いワッシャーを更に含む、請求項3記載の装置。
  5. 基板支持部材が加熱素子を備える、請求項1記載の装置。
  6. 該ローラ支持面が湾曲している、請求項1記載の装置。
  7. 該スペーサが該支持部材に固定されて該支持部材に相対する該スペーサの向きが固定されている、請求項1記載の装置。
  8. 該ローラの一部が伸びている上向きのスロットを持つ、該スペーサに結合し且つ該ローラを該ローラ支持面に保持している保持器を更に含む、請求項1記載の装置。
  9. 該ローラと該保持器の該上部との間に配置され且つ径が該スロットの幅より広いワッシャーを更に含む、請求項8記載の装置。
  10. 該ローラが該基板支持部材の中心に向かって片寄っている、請求項1記載の装置。
  11. 該ローラと接触するとともに該ローラを横に押し付ける付勢部材を更に含む、請求項1記載の装置。
  12. 該付勢部材が
    ばねと、
    該ばねによって該ローラに対して押し付けられた接触部材とを更に含む、請求項11記載の装置。
  13. 該ローラが球、楕円形ピン又はカムである、請求項1記載の装置。
  14. 該ローラ支持面が
    第1傾斜面と、
    ローラ支持長さが該第1傾斜面より短い、該第1傾斜面に結合した第2傾斜面とを更に含む、請求項1記載の装置。
  15. 該第1傾斜面と第2傾斜面が湾曲面によって結合している、請求項14記載の装置。
  16. ローラが該第1傾斜面と該第2傾斜面に沿って移動し得る、請求項14記載の装置。
  17. 基板を支持する装置であって、
    上面を持つ基板支持部材と、
    該上面の周囲に配置され且つ該上面に相対して隔置された関係でその上に該基板を支持するように適合した複数のローラであって、該上面の該周囲から離れて片寄っている、前記ローラと、
    複数のスペーサであって、該複数のスペーサの各々は該支持部材に結合した下端と該スペーサの中に該ローラ支持面が形成された上端とを有するとともに、該ローラ支持面は、該基板支持部材の該上面によって画成された平面に対して傾斜し、該ローラ支持面上において該複数のローラの各々が横方向に移動する、複数のスペーサと、
    を含む、前記装置。
  18. 該ローラ支持面が湾曲している、請求項17記載の装置。
  19. 該ローラを該ローラ支持面に捕らえ、その中にスロットが形成された保持器であって、該ローラの一部が該スロットを通って伸び、該ローラ支持面を横切って横に移動可能である、前記保持器を更に含む、請求項17記載の装置。
  20. 該ローラと該保持器との間に配置され、外径が該スロットの幅より大きいワッシャーを更に含む、請求項19記載の装置。
  21. 該支持部材に結合した複数のスペーサと、
    各スペーサ内に形成され、該ローラの1つを支持しているローラ支持面と、
    各スペーサに結合し、該ローラを該スペーサに捕らえる保持器であって、スロットがそれを通って形成されており、該ローラの一部が該スロットを通って伸びている、前記保持器と、を更に含む、請求項17記載の装置。
  22. 該保持器と該スペーサが該ローラ支持面と該スロットとを予め決められた向きに整列するようにかみ合っている、請求項21記載の装置。
  23. 外径が該スロットの幅より大きい、該ローラと該保持器との間に配置されたワッシャーを更に含む、請求項21記載の装置。
  24. 該ローラが被覆、めっき又は電気研磨されている、請求項17記載の装置。
  25. 該ローラがクロム、アルミニウム合金、窒化ケイ素又は窒化タングステンを被覆又はめっきされている、請求項17記載の装置。
  26. 該支持部材に熱的に結合した加熱素子を更に含む、請求項17記載の装置。
  27. 各スペーサがその中に形成された溝を持ち、該溝の底面が該溝の片側に近い第1位置の中に該ローラを押し付け、第1位置にある時にローラが該溝の壁から隔置されている、複数のスペーサを更に含む、請求項17記載の装置。
  28. ガラス基板を支持する装置であって、
    チャンバ本体と、
    該チャンバ本体内に配置された少なくとも1つの基板支持部材と、
    複数のスペーサであって、該複数のスペーサのそれぞれが該基板支持部材上に配置された下部と上部を持つ複数のスペーサと、
    該スペーサの少なくとも1つの該上部に形成された溝であって、該溝は、該基板支持部材の上面に対して傾斜するローラ支持面の一部を画成する溝と、
    該溝の中に配置され且つ該溝を横切って横に回転するように適合したローラと、
    を含む、前記装置。
  29. 基板支持部材がそれに熱的に結合した加熱素子を更に含んでいる、請求項28記載の装置。
  30. 該ローラ支持面が湾曲している、請求項28記載の装置。
  31. 該溝の中に配置された該ローラが共通の基準点を通って整列した方向に横に移動するように適合している、請求項28記載の装置。
  32. 該下部が該支持部材に固定されて予め決められた向きに該スペーサを回転して整列させる、請求項28記載の装置。
  33. 該チャンバ本体が熱処理チャンバである、請求項28記載の装置。
  34. 該チャンバ本体が
    第1側壁上に配置された第1基板搬送ポートと、
    第2側壁上に配置された第2基板搬送ポートと、を更に含む、請求項28記載の装置。
  35. 該ローラを該スペーサに捕らえる保持器と、
    該保持器を通って形成され且つ該ローラの一部がそれを通って伸びているスロットと、を更に含む、請求項28記載の装置。
  36. 外径が該スロットの幅より大きい、該ローラと該保持器との間に配置されたワッシャーを更に含む、請求項35記載の装置。
  37. ガラス基板を支持する装置であって、
    処理チャンバと、
    チャンバ内に配置された、上面を有する複数の加熱される基板支持部材と、
    該複数の基板支持部材の各々に配置された複数のスペーサであって、該複数のスペーサのそれぞれが上部と下部を持ち、該下部が該基板支持部材の上面に結合している、複数のスペーサと、
    基板支持部材の該上面の対向面上に配置された該スペーサの少なくとも2つの該上部に配置され、該基板支持部材の中央部に向かって付勢されたローラであって、該ローラは、該少なくとも2つのスペーサの一つの上部に形成されたローラ支持面に配置され、該ローラ支持面は、該基板支持部材の該上面によって画成された平面に対して傾斜し、該ローラは、該ローラ支持面において横方向に移動するとともに基板支持部材に隔置された関係で該ガラス基板を支持するように適合した、前記ローラと、を含む、前記装置。
  38. 該処理チャンバが熱処理チャンバである、請求項37記載の装置。
  39. 該ローラを該スペーサに捕らえ、その中に上向きのスロットが形成されて、該ローラの一部がそれを通って伸び且つ該支持部材の該中心から離れて横に移動することができる保持器を更に含む、請求項37記載の装置。
  40. 外径が該スロットの幅より広い、該ローラと該保持器との間に配置されたワッシャーを更に含む、請求項39記載の装置。
  41. ガラス基板を支持する装置であって、
    第1側壁内に配置された第1基板搬送ポートと、第2側壁内に配置された第2基板搬送ポートとを持つロードロックチャンバと、
    該ロードロックチャンバ内に配置された少なくとも1つの基板支持部材と、
    該基板支持部材上に配置された複数のスペーサであって、該複数のスペーサのそれぞれが上部と下部を有し、該下部が該基板支持部材に結合した、複数のスペーサと、
    該スペーサの少なくとも1つの該上部に形成された溝であって、該溝は、該基板支持部材の上面に対して傾斜するローラ支持面の一部を画成する溝と、
    該溝内に配置され且つ該溝を横切って横に回転するように適合したローラと、を含む、前記装置。
  42. 該ローラを該スペーサの該上部に捕らえ、上向きのスロットがその中に形成され、該ローラの一部がそれを通って伸びるとともに該ローラを横切って横に移動する保持器を更に含む、請求項41記載の装置。
  43. 外径が該スロットの幅より広い、該ローラと該保持器との間に配置されたワッシャーを更に含む、請求項42記載の装置。
JP2004055056A 2003-02-27 2004-02-27 基板支持体 Expired - Fee Related JP4640917B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/376,857 US6917755B2 (en) 2003-02-27 2003-02-27 Substrate support

Publications (2)

Publication Number Publication Date
JP2004260191A JP2004260191A (ja) 2004-09-16
JP4640917B2 true JP4640917B2 (ja) 2011-03-02

Family

ID=32908017

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004055056A Expired - Fee Related JP4640917B2 (ja) 2003-02-27 2004-02-27 基板支持体

Country Status (6)

Country Link
US (1) US6917755B2 (ja)
EP (1) EP1511077A3 (ja)
JP (1) JP4640917B2 (ja)
KR (1) KR100625407B1 (ja)
CN (1) CN100353517C (ja)
TW (1) TWI264081B (ja)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060182530A1 (en) * 2005-01-05 2006-08-17 Min-Hsu Wang Wafer loadlock chamber and wafer holder
KR100696375B1 (ko) * 2005-01-10 2007-03-19 삼성전자주식회사 베이크 장치
DE102005003501B4 (de) * 2005-01-25 2014-09-04 Saint-Gobain Industriekeramik Rödental GmbH Vorrichtung zum Stützen, Stapeln und Transportieren von Brenngut
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
EP2018920B1 (en) * 2007-07-26 2011-09-07 Black & Decker, Inc. Anti-kickback device
DE602007011426D1 (de) * 2007-07-26 2011-02-03 Black & Decker Inc Anti-Rückstoß-Vorrichtung
KR100976400B1 (ko) 2008-03-26 2010-08-17 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
US20100122655A1 (en) * 2008-11-14 2010-05-20 Tiner Robin L Ball supported shadow frame
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5501688B2 (ja) * 2009-07-30 2014-05-28 東京エレクトロン株式会社 基板位置合わせ機構、それを用いた真空予備室および基板処理システム
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101130969B1 (ko) * 2009-09-17 2012-04-03 주성엔지니어링(주) 기판 지지부재와 이를 포함하는 기판 가열 장치
TWI417984B (zh) * 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
KR101671489B1 (ko) * 2010-07-29 2016-11-02 삼성디스플레이 주식회사 유기물 증발원 및 그를 포함하는 증착 장치
US8826693B2 (en) * 2010-08-30 2014-09-09 Corning Incorporated Apparatus and method for heat treating a glass substrate
JP5810517B2 (ja) * 2010-12-02 2015-11-11 富士電機株式会社 吸着装置および吸着方法
US9371584B2 (en) * 2011-03-09 2016-06-21 Applied Materials, Inc. Processing chamber and method for centering a substrate therein
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101391163B1 (ko) * 2013-01-10 2014-05-07 주식회사 제우스 기판 지지 장치와 이것을 이용한 열처리 장치
US9219174B2 (en) 2013-01-11 2015-12-22 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
DE102013106461B4 (de) * 2013-06-20 2016-10-27 Kgt Graphit Technologie Gmbh Haltestifte zum Halten von Wafern in Waferbooten und Verfahren zum Herstellen solcher Haltestifte
KR101930011B1 (ko) * 2013-08-22 2018-12-18 주식회사 원익아이피에스 온도 조절 장치 및 기판 처리 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10309012B2 (en) * 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104658959B (zh) * 2015-03-17 2017-07-04 合肥京东方光电科技有限公司 基板支撑针、基板支撑装置和基板取放系统
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US12027407B2 (en) * 2017-07-19 2024-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate support apparatus and method
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN107749407B (zh) * 2017-09-22 2020-08-28 沈阳拓荆科技有限公司 晶圆承载盘及其支撑结构
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220298631A1 (en) * 2019-06-21 2022-09-22 Lam Research Corporation Bidirectional indexing apparatus
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0685739U (ja) * 1993-05-21 1994-12-13 株式会社ニコン 位置決め装置
JPH0751793Y2 (ja) * 1994-05-10 1995-11-22 大日本スクリーン製造株式会社 基板の熱処理装置
JPH09129567A (ja) * 1995-10-30 1997-05-16 N T T Electron Technol Kk 縦型ウエハボートのウエハ支持構造
JPH09293684A (ja) * 1996-04-25 1997-11-11 Toshiba Corp 熱処理用治具
JPH1064982A (ja) * 1996-08-14 1998-03-06 Dainippon Screen Mfg Co Ltd 基板保持機構及び基板処理装置
JP2000007146A (ja) * 1998-06-18 2000-01-11 Olympus Optical Co Ltd ガラス基板保持具
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
JP2000088701A (ja) * 1998-09-17 2000-03-31 Olympus Optical Co Ltd 基板支持具
JP2000183146A (ja) * 1998-12-18 2000-06-30 Ibiden Co Ltd 静電チャック
JP2000353737A (ja) * 1999-06-14 2000-12-19 Toray Ind Inc 基板整列装置

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4108455A (en) * 1975-12-22 1978-08-22 The Boeing Company Cargo pallet incorporating retractable ball units
FR2591138B1 (fr) * 1985-12-10 1988-04-08 Recif Sa Procede de fabrication d'embouts pour pipettes a vide notamment et les embouts obtenus par la mise en oeuvre du procede.
JPH0697674B2 (ja) * 1986-02-19 1994-11-30 キヤノン株式会社 ボ−ル接触型ウエハチヤツク
JPH0719150Y2 (ja) * 1986-10-31 1995-05-01 日本真空技術株式会社 ウェハ搬送ハンド
KR0155545B1 (ko) * 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
JPH02121347A (ja) * 1988-10-31 1990-05-09 Tokyo Electron Ltd ウエハの位置決め装置
JPH02139935A (ja) * 1988-11-21 1990-05-29 Nec Corp 半導体製造装置
JP2948842B2 (ja) * 1989-11-24 1999-09-13 日本真空技術株式会社 インライン型cvd装置
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
JP3503710B2 (ja) * 1994-06-28 2004-03-08 東京エレクトロン株式会社 半導体ウエハの熱処理用搭載治具及び熱処理装置
JPH0870007A (ja) * 1994-08-30 1996-03-12 Dainippon Screen Mfg Co Ltd 基板の熱処理装置
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
US6193506B1 (en) * 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5605574A (en) * 1995-09-20 1997-02-25 Kabushiki Kaisha Toshiba Semiconductor wafer support apparatus and method
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
JPH09289244A (ja) * 1996-04-22 1997-11-04 Dainippon Screen Mfg Co Ltd 基板整列装置
US5788304A (en) * 1996-05-17 1998-08-04 Micron Technology, Inc. Wafer carrier having both a rigid structure and resistance to corrosive environments
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
US5836575A (en) * 1996-10-30 1998-11-17 Micron Electronics, Inc. Wafer manual handpick station
US5984391A (en) * 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
KR100284567B1 (ko) * 1997-04-15 2001-04-02 후지이 아키히로 수직 웨이퍼 보트
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6077026A (en) * 1998-03-30 2000-06-20 Progressive System Technologies, Inc. Programmable substrate support for a substrate positioning system
US6085670A (en) * 1998-05-05 2000-07-11 Genmark Automation, Inc. Tiltable Z-axis platform based on uni-directional tilt platform
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
WO2000001628A1 (en) * 1998-07-01 2000-01-13 Intevac, Inc. Heating assembly for rapid thermal processing system
JP2000021889A (ja) * 1998-07-07 2000-01-21 Kokusai Electric Co Ltd 加熱体の支持構造
US6143147A (en) * 1998-10-30 2000-11-07 Tokyo Electron Limited Wafer holding assembly and wafer processing apparatus having said assembly
JP2000150402A (ja) 1998-11-09 2000-05-30 Shin Etsu Handotai Co Ltd 基板支持治具
US6256555B1 (en) * 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
KR100309920B1 (ko) * 1998-12-16 2002-10-25 삼성전자 주식회사 기판의언로딩장치및언로딩방법
US6187134B1 (en) * 1999-07-09 2001-02-13 The Board Of Trustees Of The Leland Stanford Junior University Reusable wafer support for semiconductor processing
US6322116B1 (en) * 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0685739U (ja) * 1993-05-21 1994-12-13 株式会社ニコン 位置決め装置
JPH0751793Y2 (ja) * 1994-05-10 1995-11-22 大日本スクリーン製造株式会社 基板の熱処理装置
JPH09129567A (ja) * 1995-10-30 1997-05-16 N T T Electron Technol Kk 縦型ウエハボートのウエハ支持構造
JPH09293684A (ja) * 1996-04-25 1997-11-11 Toshiba Corp 熱処理用治具
JPH1064982A (ja) * 1996-08-14 1998-03-06 Dainippon Screen Mfg Co Ltd 基板保持機構及び基板処理装置
JP2000007146A (ja) * 1998-06-18 2000-01-11 Olympus Optical Co Ltd ガラス基板保持具
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
JP2000088701A (ja) * 1998-09-17 2000-03-31 Olympus Optical Co Ltd 基板支持具
JP2000183146A (ja) * 1998-12-18 2000-06-30 Ibiden Co Ltd 静電チャック
JP2000353737A (ja) * 1999-06-14 2000-12-19 Toray Ind Inc 基板整列装置

Also Published As

Publication number Publication date
TW200426968A (en) 2004-12-01
KR20040077554A (ko) 2004-09-04
CN1542939A (zh) 2004-11-03
US6917755B2 (en) 2005-07-12
JP2004260191A (ja) 2004-09-16
TWI264081B (en) 2006-10-11
CN100353517C (zh) 2007-12-05
EP1511077A3 (en) 2006-08-23
EP1511077A8 (en) 2005-07-20
EP1511077A2 (en) 2005-03-02
US20040170407A1 (en) 2004-09-02
KR100625407B1 (ko) 2006-09-19

Similar Documents

Publication Publication Date Title
JP4640917B2 (ja) 基板支持体
US20030072639A1 (en) Substrate support
EP1390968B1 (en) Smooth multipart substrate support member for cvd
KR101155142B1 (ko) 기판 지지용 엔드 이펙터 조립체
US6209220B1 (en) Apparatus for cooling substrates
US20050220604A1 (en) Substrate support bushing
US20080025835A1 (en) Bernoulli wand
JPH09310173A (ja) スパッタリング後の基板の取り扱い方法及びスパッタリング装置
US6957690B1 (en) Apparatus for thermal treatment of substrates
KR102595812B1 (ko) 홀더, 적어도 2개의 홀더들을 포함하는 캐리어, 장치들 및 방법들
US20110146578A1 (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091020

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100120

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100125

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100222

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100225

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100830

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101026

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101125

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101125

R150 Certificate of patent or registration of utility model

Ref document number: 4640917

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131210

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees