CN1542939A - 基片支撑件 - Google Patents

基片支撑件 Download PDF

Info

Publication number
CN1542939A
CN1542939A CNA2004100060615A CN200410006061A CN1542939A CN 1542939 A CN1542939 A CN 1542939A CN A2004100060615 A CNA2004100060615 A CN A2004100060615A CN 200410006061 A CN200410006061 A CN 200410006061A CN 1542939 A CN1542939 A CN 1542939A
Authority
CN
China
Prior art keywords
rolling element
substrate
supporting member
distance piece
retainer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100060615A
Other languages
English (en)
Other versions
CN100353517C (zh
Inventor
����³��Դ
安德鲁·源
ε
格哈德·施奈德
细川明广
֮
松本隆之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1542939A publication Critical patent/CN1542939A/zh
Application granted granted Critical
Publication of CN100353517C publication Critical patent/CN100353517C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Re-Forming, After-Treatment, Cutting And Transporting Of Glass Products (AREA)

Abstract

本发明提供了一种用于支撑基片的装置,所述装置具有适合于最小化基片支撑件与支撑于其上的基片之间的损坏的球体。在一个实施例中,一种用于支撑基片的装置包括设置在倾斜的球体支撑表面上的球体。所述球体支撑表面适合于将所述球体向着球体支撑表面的一侧偏置,从而当支撑于球体上的基片受到热影响而长度变化时为球体提供滚动空间。在另一个实施例中,该装置还包括适合于将球体俘获到球体支撑表面的保持架。

Description

基片支撑件
技术领域
本发明的实施例涉及基片支撑件。
背景技术
迄今已经在大型玻璃基片或者玻璃板上制成了薄膜晶体管以用于监视器、平面显示器、太阳能电池、个人数字助理(PDA)、蜂窝式电话等。这些晶体管是通过在真空室内顺序地沉积包括非晶硅、掺杂和未掺杂的氧化硅、氮化硅等的各种膜而制成的。用于沉积薄膜的一种方法是化学气相沉积法(CVD)。
CVD是温度相对较高的处理,要求基片经得住300摄氏度到400摄氏度量级的温度,而其处理过程中温度超过500摄氏度。CVD膜处理已经广泛地用于在基片上制作集成电路。但是,由于玻璃基片是非常易碎并且当被加热到较高温度时易出现松垂、扭曲、裂纹的介电材料,所以必须小心以避免由于加热和冷却造成的热应力和由此引起的损坏。
现在存在有用来在处理之前预热基片和进行处理之后的热处理操作的的系统。常用的加热室具有一个或者多个被加热的搁板用于加热单个或者多个玻璃基片。玻璃基片一般被支撑在间隔件上,位于搁板的上方,以提高热均匀性和处理量。为了使成本最小化,常用的间隔件一般由诸如不锈钢、铝、氮化铝等易加工的金属形成。但是,常用的间隔件可能擦伤或者其它方式地损坏玻璃基片的表面,可能造成该玻璃表面上的不足。例如,退火以产生低温多晶硅膜需要将玻璃基片加热到大约550摄氏度,这可能导致900mm宽的基片热膨胀4mm。这种热膨胀结果造成玻璃基片沿着在加热和冷却过程中支撑该玻璃基片的间隔件而滑动。造成的玻璃基片与间隔件之间的摩擦已经被证明会导致划痕、裂纹以及玻璃基片上的其它畸变缺陷。例如,在将基片划分成多块板的分割处理过程中,基片可能沿着划痕或者其它缺陷断开而不是沿着希望的位置断开,致使一块或者多块板有缺陷。
所以,人们需要一种减少处理过程中基片损坏的支撑件。
发明内容
本发明提供了一种用于支撑基片的装置,所述装置具有适合于最小化基片支撑件与支撑于其上的基片之间的损坏的球体。在一个实施例中,一种用于支撑基片的装置包括设置在倾斜的球体支撑表面上的球体。所述球体支撑表面适合于将所述球体向着球体支撑表面的一侧偏置,从而当支撑于球体上的基片受到热影响而长度变化时为球体提供滚动空间。在另一个实施例中,该装置还包括适合于将球体俘获到球体支撑表面的保持架。
附图说明
对以上所简要概括的本发明的更为具体的描述可以通过参照附图所示的本发明实施例来获得。但是需要注意,附图仅图示了本发明的典型实施例,因此并不被视为对其范围的限制,因为本发明可以包括其它同样有效的实施例。
图1是具有多个支撑构件和间隔件的加热室的一个实施例的截面图;
图2是具有设置于其上的多个间隔件的搁板/支撑构件的一个实施例的俯视图;
图3是常用间隔件的一个实施例的侧视图;
图4A是本发明间隔件的一个实施例的截面图;
图4B是本发明间隔件的另一个实施例的截面图;
图5是沿图4A的截线5-5所取的截面图;
图6是球体的一个实施例的截面图;
图7是本发明间隔件的另一个实施例的截面图;
图8是图7的间隔件的俯视图;
图9是本发明间隔件的另一个实施例的截面图;
图10是图9的间隔件的俯视图;
图11是本发明间隔件的另一个实施例的截面图;
图12是本发明间隔件的另一个实施例的截面图;
图13是本发明间隔件的另一个实施例的截面图;
图14是具有支撑构件的负载封闭室(load lock chamber)的一个实施例的截面图,其中所述支撑构件具有设置于其上的多个间隔件;
图15是具有支撑构件的负载封闭室的另一个实施例的截面图,其中所述支撑构件具有设置于其上的多个间隔件。
为了方便理解,在可能的地方都使用了相同标号来指示图中共有的相同元件。
具体实施方式
本发明一般地涉及用于支撑基片、有利地适用于减少基片损坏的间隔件。尽管在基片要经受温度变化的室中这种间隔件尤其有效,但是该间隔件也适于使用在希望避免划伤基片的其它室中。
图1-2是加热室10的一个实施例的截面侧视图和俯视图,该加热室10具有用于存储和/或用热的方法处理玻璃基片32的盒体90。该加热室10包括遮蔽该盒体90的侧壁86、顶部82和底部84。端口96穿过侧壁86邻近处理系统(未示出)而形成,并且与长槽阀(slit valve)94相配,通过该长槽阀94玻璃基片32可以在处理系统与加热室10内的盒体90之间被传送。
盒体90由轴92可移动地支撑在加热室10内。轴92延伸穿过室10的底部84并被连接到控制盒体90在室10内的升降的提升机构88。可伸缩管78或者其它密封元件被设置在轴92的周围,在方便盒体90的移动的同时保持了室环境的隔离。
盒体90包括侧壁12、14、底部16和盖18。盒体90的侧壁12、14一般与用于控制盒体90温度的合适的加热元件20、22相配。加热元件20、22可以是电阻加热器和/或用于循环传热气体或液体的导管。
在一个实施例中,盒体90的底部16包括入口和出口通道24、26,用于为温度受控的流体通过加热元件20、22提供路径。在底部16还可以形成通道34,用于为被连接到电源(未示出)的加热元件20、22的传感器和/或电源线提供路径。
多个支撑构件28被设置在侧壁12、14之间。每个支撑构件28都适合于在其上支撑一个玻璃基片32。在图1-2中所示的实施例中,支撑构件28为相对端被连接到侧壁12、14的热传导搁板。支撑构件28被构造成与侧壁12、14具有良好的热接触,从而允许加热构件20、22对支撑构件28以及设置于其上的玻璃基片32的温度的快速、均匀的控制。可以被用于支撑构件28的材料的例子包括,但是不限于,铝、铜、不锈钢、镀层铜(clad copper)等。或者,加热构件20、22的至少一部分可以被嵌入在支撑构件28中。
如图2所示,一个或者多个外侧间隔件30被适当地布置在支撑构件28上以支撑玻璃基片32(以虚线示出)的外周。一个或者多个内侧间隔件50被设置在支撑构件28上以支撑玻璃基片32的内侧部分。在图2所示的实施例中,三个外侧间隔件30被设置在支撑构件28的相对侧以支撑玻璃基片32的外周,而两个内侧间隔件50被设置在该外侧间隔件30以内,以支撑玻璃基片32的中心部分。间隔件30、50之间的其它构造也可以被采用。例如,每个外侧间隔件30可以与至少一个内侧间隔件50相对于支撑构件28的中心线80而径向对齐。一般,基片32被支撑使得其中心落在支撑构件28的中心线上。
首先回到图1,间隔件30、50起到在盒体90内支撑玻璃基片32使得在支撑构件28和玻璃基片32之间界定出一个间隙44的作用。间隙44防止支撑构件28直接接触玻璃基片32,这种接触可能使玻璃基片32产生应力或裂纹,或者导致沾染物被从支撑构件传到玻璃基片32上。盒体90内的玻璃基片32通过辐射和气体传导被间接地加热,而不是通过玻璃基片与支撑构件28之间的直接接触。另外,交叉放置玻璃基片32和支撑构件28允许从上方和下方两处来加热玻璃基片32,从而提供了对玻璃基片32的更快速、均匀的加热。
图3是内侧间隔件50的一个实施例的截面图。内侧间隔件50一般由不锈钢构成,具有圆柱体外形。内侧间隔件50具有第一端302和第二端304。第一端302被设置在支撑构件28上。第二端304以相对于支撑构件28被隔开的关系支撑玻璃基片32(以虚线示出)。第二端304的边缘一般包括圆角或倒角306以最小化对玻璃基片32可能的划伤。第二端304或者可以包括全圆角(full radius)以最小化与玻璃基片32的接触面积。一般,内侧间隔件50被构造成能提供一定摩擦以保持玻璃基片32稳定在支撑构件28上。
图4A示出了外侧间隔件30的一个实施例的截面图。作为选择,内侧间隔件50可以被构造成类似与外侧间隔件30。可以为了制造的方便,在一些实施例中为了低成本,而选择用于形成外侧间隔件30的材料。适于制造外侧间隔件30的一些材料包括不锈钢、低碳钢、ICONEL、镍合金以及其它材料。
外侧间隔件30一般包括第一部分402和第二部分404。第一部分402被设置在支撑构件28上,并且尽管其它几何构形可以被采用,其一般具有圆柱形横截面。第二部分404包括在其上支撑球体408的球体支撑表面406。球体408接触玻璃基片32,将基片支撑在基片支撑件28的上方,并被向着球体支撑表面406的一侧偏置。
在一个实施例中,球体支撑表面406被构造成起到偏置构件的作用,将球体408向球体支撑表面406的一侧推进。球体支撑表面406可以是平坦的或者弧形的,在一个实施例中,其相对于由基片支撑件28界定的平面是倾斜的。球体支撑表面406的倾斜允许重力向着球体支撑表面406的一侧偏置球体408。一般,球体支撑表面406以小于大约5度的角度向基片支撑件28的中心线80倾斜。在图4A所示的实施例中,球体支撑表面406倾斜了约0.5度到约1.5度之间。倾斜角度可以通过考虑玻璃基片32的重量与玻璃基片32和支撑表面406之间的摩擦之间的关系来确定,以确保球体408的滚动,这基本上防止了当玻璃膨胀和收缩时对玻璃基片的划伤。
在一个实施例中,球体支撑表面406被界定在形成于外侧间隔件30的第二部分404中的承窝(socket)410的底部。承窝410包括具有较高部分414和较矮部分416的壁412。在基片32在室10内被加热的应用中,较高部分414一般被定向为向着基片支撑件28的中心线80,并且可以至少与球体408的直径的1/2一样高。相反地,在基片32被冷却的应用中,较高部分414可以被定向为远离中心线80。
壁412的较高部分414与较矮部分416之间界定的距离420一般被构造成在基片32由于其温度变化而膨胀或者收缩时允许球体408沿着球体支撑表面408行进预定距离。例如,冷的基片32被放置在向着基片支撑件28的中心线80偏置的球体408上,并在该基片支撑件28上被加热。当基片32从其中心径向向外膨胀时,基片沿方向430从基片32和基片支撑件28的中心线80径向向外推进球体408,导致球体408沿着球体支撑表面406从壁412的较高部分414滚到较矮部分416。由于距离420被选择以当基片32被放置在基片支撑件28上时,在基片32的整个预定温度上升中,适应预期的基片膨胀过程中球体408的移动,所以有利地确保了球体408与基片32间的滚动接触,从而防止了可能损坏基片的球体408与基片32之间的滑动接触。在热的基片被支撑在球体上以冷却的实施例中,球体支撑表面的斜面远离支撑构件28的中心,当基片被冷却而收缩时,示出球体移动的方向430是朝着支撑构件28的中心的。
在一个实施例中,外侧间隔件30的第一部分402具有适合于接收从支撑构件28突出的固定销424的中空部分422。销424将外侧间隔件30定位在盒体90内部其相应的支撑构件28上。一般,外侧间隔件30被定向为使得球体支撑表面406的倾斜如上所述地与中心线80对齐。外侧间隔件30可以利用锁定组件(locking compound)、立桩(staking)、压配合、焊接、铆接、螺纹连接等被固定于该方向。应该认识到,实现将外侧间隔件30的实施例连接或者固定到支撑构件28的其它方法也可以考虑。
在图4A所示实施例和图5的截面图中,销424与中空部分422被键入连接(key)以以预定旋转方向配合。在一个实施例中,销424包括平坦面426,平坦面426与从外侧间隔件30的第二部分404突入到中空部分422内的平台(land)428配合。平坦面426与平台428之间的对接面确保了间隔件相对于基片支撑件28和定位于其上的基片的方向。也可以考虑用其它配合的几何构形来固定间隔件30与支撑构件28之间的角度方向。
图4B是外侧间隔件450的另一个实施例。外侧间隔件450包括顶部表面452和底部表面454。底部表面454适合于安装在支撑构件28上。球体支撑表面456形成在顶部表面452中并适合于接收球体408,球体408以相对于间隔件450的顶部表面452被隔开的关系支撑基片32。
球体支撑表面456包括通过弧形表面460连接到第二倾斜表面462的第一倾斜表面458。第一倾斜部分458开始于形成在间隔件450中并由球体支撑表面456所界定的凹入部分490的第一侧466,并穿过间隔件450的中心线470延伸到弧形表面460。弧形表面460的半径一般基本上等于或者大于球体480的半径,以便球体支撑表面456将球体408定位在一个方向使得球体408的中心线476偏离间隔件450的中心线470一个距离472,从而允许当基片432膨胀和收缩时球体408在方向480上自由地滚动。
第二倾斜表面462从弧形表面460延伸到凹入部分490的第二壁464,并被构造成使得当球体停在弧形表面460上时,球体408与第二壁464间隔一个距离474。距离474允许球体408沿着球体支撑表面456的第二倾斜部分462移动,以适应由于例如振动或其它意外运动引起的基片32相对于搁板28的稍许移动而不损坏基片32。
图6是球体408的一个实施例的截面图。尽管球体408被示为球形的,但是作为替代,球体408可以是被构造成在球体支撑表面406上侧向滚动的其它形状,例如圆柱体、椭圆柱形体(elliptical pin)、凸轮等。球体408可以由金属或者非金属材料构成。球体408还可以减少摩擦以及/或者抑制球体408与玻璃基片32之间的化学反应。一般,球体408由金属或者金属合金、石英、蓝宝石、氮化硅或者其它适合的非金属材料构成。在一个实施例中,球体408具有4微英寸或者更光滑的表面光洁度。
作为选择,球体408可以被电抛光、涂敷或者镀上涂层602。例如,涂层602可以具有足够的厚度来提供减小球体408与玻璃基片32之间摩擦的阻挡层。玻璃基片32与球体408之间的摩擦减小基本上防止了由玻璃基片32与球体408之间的接触导致的对玻璃基片32的损坏。附加地或者作为替代,涂层602可以减少球体408的材料与玻璃基片32的材料之间的化学反应。在另一个实施例中,间隔件30、50的其它部分可以类似地被包覆以减少其间的摩擦和/或化学反应。
能够减少或者消除球体408与玻璃基片32之间摩擦的涂层602可以通过化学气相沉积(CVD)氮化处理、物理气相沉积(PVD)溅射处理、喷涂、电镀或者其它处理被沉积。在一个实施例中,涂层602具有至少约为3微米的厚度。在另一个实施例中,涂层602被形成为厚度在大约3微米到大约20微米之间。在另一个例子里,如上所述的球体408可以被放置在反应室中并被暴露于包括氨和/或氮气和/或氢气和/或其它还原气体的气氛中以在球体408被暴露的表面上形成氮化涂层。在另一个实施例中,涂层602通过例如PVD的溅射处理被形成,以在球体408的外侧表面上形成氮化表面,并且涂层602包括,例如,氮化钛。
表面涂层602一般为球体408提供光滑的外侧表面。以上所描述的表面涂层602的可替换的实施例保持至少与球体408的原始光洁度同样光滑的光滑表面。或者,涂层602可以通过例如电抛光或者其它方法被处理以提高涂层602的光洁度。具有上述表面涂层602的内侧间隔件50会减少与支撑在内侧间隔件50上的玻璃基片32之间的摩擦,并且在一个实施例中,附加地或者作为替代,会减少球体408和/或放置于其上的玻璃32内的沾染物之间的化学反应。作为选择,涂层602可以应用于外侧间隔件30。
应该认识到,根据本发明的一些方面制造的内侧间隔件50适合于在250摄氏度以上进行的热处理操作。使用本发明的内侧间隔件50也可以执行其它热处理操作,例如用于制造低温多晶硅的热处理加工。根据本发明制造的间隔件50根据应用和玻璃材料的特性而适合于在大约450摄氏度以上,直到并包括600摄氏度进行的热处理操作。上述表面涂层602还可以提供附加的保护层,该保护层既减少球体408与所支撑的玻璃基片32之间摩擦损坏的可能性,又起到作为阻挡层防止球体408与玻璃基片32间的沾染物或者材料之间的反应的作用。
以上已经示出和描述了作为减少基片损坏的中心支撑件的内侧间隔件50的实施例。上述实施例示出了作为中心支撑件的内侧间隔件50,而常用的外侧间隔件30可以被用于支撑玻璃基片32的外周。应该认识到,作为选择,外侧间隔件30的一些或者全部可以被构造成与内侧间隔件50类似或者相同。
尽管内侧间隔件50已经结合具体材料被描述了,但是应该认识到其它热处理应用可以采用由其它不同材料制造的间隔件50,并且可以使用上述那些材料以外的用于涂层602的替代材料。
图7-8示出了外侧间隔件700的另一个实施例。外侧间隔件700包括支撑体702,该支撑体702具有放置于其上并被保持架704俘获于其处的球体720。球体720的一部分延伸穿过保持架704来以相对于支撑构件28被隔开的关系支撑基片730。
支撑体702一般被构造成类似于上述外侧间隔件,其包括第一部分708和第二部分706。第一部分708包括与从支撑构件28延伸出的销712对接的中空部分710。中空部分710和销712一般被构造成将间隔件定向成使得球体720沿基本上与基片32的中心对齐的方向430移动。
第二部分706包括凹入部分714,球体720的一部分位于凹入部分714中。凹入部分714包括将球体720向着外侧间隔件700的一侧偏置的倾斜的球体支撑表面716。球体支撑表面716被设置于一定深度处,以确保球体720支撑基片32而不接触到保持架704。
保持架704连接到支撑体702的第二部分706,其可以由适合在升高的温度下使用的若干材料制造,一般为与支撑体702相同的材料。保持架704包括顶部表面732,所述顶部表面732具有从其上向下延伸的外接圆柱形法兰734。开口750穿过保持架704的顶部732而形成,允许球体720的一部分延伸穿过所述开口750。开口750一般被定向成当基片由于热影响而膨胀或者收缩时允许球体720沿与基片32的中心对齐的方向430行进。
保持架704的法兰734一般与支撑体702的第二部分706对接以将保持架704固定于支撑体702。保持架704可以通过旋入、粘附、铆接、立桩、压配合、铜焊、焊接、紧固或者通过其它适合的方法被连接到支撑体702。在图7所示实施例中,至少一个紧固件740穿过穿透法兰734而形成的孔736并进入形成在支撑体702的第二部分706中的孔742中。孔736、742以及紧固件740确保了开口750与方向430对齐。紧固件740可以是螺纹件、定位销(dowel pin)、弹簧销(spring pin)、铆钉、传动销或者其它适合的器件。
图9和10示出了外侧间隔件900的另一个实施例。外侧间隔件包括支撑体902,支撑体具有连接于其上的保持架904。支撑体902和保持架904基本上类似于上述外侧间隔件700的那些部分,除了形成在保持架904中的开口906具有基本上等于或者大于球体920的直径的宽度,其中球体920被保持架904保持为支撑在倾斜球体支撑表面910上,其中所述球体支撑表面910位于形成于支撑体902中的凹入部分918的底部。垫圈912被设置在球体920与保持架904之间,其具有大于开口906宽度的直径,从而将球体920保持在形成于支撑体902中的凹入部分918内。垫圈912的内侧直径916足以允许球体920的外周延伸到保持架904上方从而以相对于支撑构件28被隔开的关系支持基片932。
图11示出了外侧间隔件1100的另一个实施例。外侧间隔件1100包括设置在形成于支撑构件28中的凹入部分1104中的球体1102。凹入部分1104被构造成基本上类似于上述形成于外侧间隔件中的凹入部分,其包括被构造成将球体向着凹入部分1104的一侧偏置的倾斜的球体支撑表面1106。凹入部分1104的深度被构造成使得球体1102的外周延伸到支撑构件28的表面上方,从而以相对于支撑构件28被隔开的关系支持基片32。
图12示出了外侧间隔件1200的另一个实施例。外侧间隔件1200被构造成类似于外侧间隔件1100,具有设置在球体支撑表面1206上的球体1202,其中球体支撑表面1206设置在形成于支撑构件28中的凹入部分1204的底部。球体1202由连接到支撑构件28的保持架1208保持在凹入部分1204中。保持架1208可以通过焊接、铜焊、螺纹件、铆钉、立桩、粘附、接合、铆接或者通过其它适合的方法或器件被紧固到支撑构件28上。在图12所示实施例中,保持架1208通过多个紧固件1210旋入支撑构件28而被紧固到支撑构件28
穿过保持架1208而形成的开口1212可以被构造成直接保持球体1202,如参照图7和8的实施例所描述的那样,或者,作为替代,采用垫圈(在图12中未示出),如参照图9和10的实施例所描述的那样。
图13示出了外侧间隔件1300的另一个实施例。外侧间隔件1300被构造成类似于上述外侧间隔件,具有设置在球体支撑表面1306上的球体1302。球体支撑表面1306可以是平坦的或者弧形的,倾斜于或者基本上平行于球体1302所支撑的基片32(以虚线示出)。球体支撑表面1306可以是形成在间隔件或基片支撑件中,并且可以如上所述地与保持架相互作用以保持球体1302。
偏置构件1310将球体1302向着球体支撑表面1306的一侧推进。偏置构件1310包括连接到滑块1314的弹簧1312。滑块1314一般由当基片膨胀或者收缩时,随着球体1302沿着球体支撑表面1306侧向滚动,允许球体1302滑过滑块1314的接触表面1316的材料制造。作为替代,图13中被示为压缩弹簧的弹簧1312可以是在基片改变长度时允许球体1302滚动,而当基片被移开时使球体1302返回到球体支撑表面1306的预定的滑道(slide)的片簧或者其它弹簧形式或者器件。
尽管本发明已经被描述为与玻璃基片32一起使用,但是本发明的内侧间隔件的其它实施例可以被用来减少内侧间隔件与不同的基片材料之间的摩擦损坏和/或化学反应。尽管本发明被描述成用于上述加热系统10中,但是其它热处理系统和室可以被使用。本发明的方法和装置可以独立地被实施而不论应用本发明的实施例的室的类型。
图14示出了负载封闭室1400和设置在其中的至少一个内侧间隔件50的一个实施例的截面图。负载封闭室1400一般包括具有两个玻璃传送端口1404(图14中只示出了一个)的室体1402。每个玻璃传送端口1404选择性地被长槽阀1408(以虚线示出)所密封。负载封闭室1400被设置在容纳于,例如,分别连接到传送端口1404的室(未示出)中的第一气氛和真空气氛之间,被用来准许通过邻近的传送端口1404将玻璃基片32传送进和传送出真空气氛而不损失真空。
室体1402另外包括泵送端口1410,通过该泵送端口1410可以调节室体1402内的气压。作为选择,室体1402可以包括用于将室体1402内的气压从真空条件提高的通风口1412。一般,通过通风口1412进入室1400的空气或者流体要经过过滤器1414以最小化进入室1400中的微粒。这样的过滤器一般可以从位于New Jersey 州 Riverdale的Camfil-Farr公司购买到。
盒体1406可移动地设置在室体1402中,其包括连接到升降轴1420的下平板1416和上平板1418。盒体1406被构造成将第一基片32支撑在从下平板1416延伸出的一个或者多个间隔件30和至少一个间隔件50上,而将第二基片(未示出)支撑在从上平板1418延伸出的一个或者多个间隔件30和至少一个间隔件50上。盒体1406可以被升高或者降低以使支撑在盒体1406上的任意一个基片与端口1404对齐。
室体1402还可以包括冷却板1422。冷却板1422具有允许从下平板1416延伸出的间隔件30、50穿过的多个孔。当盒体1406被降低时,位于间隔件30、50上的基片32被移动靠近冷却板1422。循环经过冷却板1422的传热流体将热从基片32传递到冷却板1422,从而降低了基片32的温度。这样,间隔件50允许基片32在负载封闭室1400内膨胀或者收缩而不擦伤或者其它方式地损坏基片。1999年12月15日提交的美国专利申请No.09/464,362(代理人案卷No.3790)中描述了一种适合于从本发明受益的负载封闭室,特此通过全文引用结合于此。
图15示出了负载封闭室1500和设置于其中的至少一个内侧间隔件50的另一个实施例的截面图。负载封闭室1500一般包括具有两个玻璃传送端口1504(图15中只示出了一个)的室体1502。每个玻璃传送端口1504选择性被长槽阀(以虚线示出)1508所密封。负载封闭室1500被设置在容纳于,例如,分别连接到传送端口1504的室(未示出)中的第一气氛和真空气氛之间,被用来准许通过邻近的传送端口1504将玻璃基片(以虚线示出)32传送进和传送出真空气氛而不损失真空。
多个基片32各自被支撑在室体1502内、支撑构件1560上(为了清晰,图15中只示出了一个基片32)。支撑构件1560可以被连接到室体1502或者被设置在可移动盒体1562内。在图15所示实施例中,可移动盒体1562包括连接到12个垂直叠置的支撑构件1560的至少一个内侧间隔件30和多个外侧间隔件50。这样,当基片32膨胀或者收缩时,基片32能够在间隔件50上移动而不擦伤或者其它方式地损坏基片。一种适合于从本发明受益的负载封闭室可以从California 州 Santa Clara市应用材料公司(Applied Materials)的分公司AKT购买到。
这样,本发明提供了一种用于支撑基片的装置,该装置具有设置在倾斜的支撑表面上的球体。由于倾斜的球体支撑表面被构造成定位球体以确保球体能够不受阻碍地在基片移动所推进的方向上滚动,所以该装置适应于最小化在基片的热膨胀(或者收缩)过程中基片支撑件与支撑于其上的基片之间的损坏。
尽管上文是针对本发明的实施例的,但是在不背离本发明的基本范围以及由所附权利要求确定的本发明的范围的情况下可以设计出本发明的其它的以及进一步的实施例。
本发明涉及2001年9月24日提交的美国专利申请No.09/963,020(代理人案卷No.6181/AKT/BG)和2001年10月17日提交的美国专利申请No.09/982,406(代理人案卷No.6199/DISPLAY/AKT/BG),特此通过全文引用结合于此。

Claims (53)

1.一种用于在室中支撑基片、具有设置在所述室内的至少一个基片支撑构件的装置,该装置包括:
支撑表面;和
设置在所述支撑表面上、适合于在其上侧向移动的滚动体,该滚动体适合以所述基片与所述基片支撑构件相隔开的关系接触和支撑所述基片,所述滚动体被偏置到所述支撑表面的一侧。
2.如权利要求1所述的装置,其中所述支撑表面被定向为与水平面成大约0.5到大约2度之间的一个角度。
3.如权利要求1所述的装置,还包括:
将所述滚动体保持在所述支撑表面的保持架,所述保持架具有朝上的开口,所述滚动体的一部分延伸穿过所述开口。
4.如权利要求3所述的装置,还包括:
设置在所述滚动体和所述保持架的顶部之间的垫圈,所述垫圈具有大于所述开口宽度的外侧直径。
5.如权利要求1所述的装置,其中所述滚动体支撑表面设置在被加热的支撑构件中。
6.如权利要求1所述的装置,其中所述滚动体支撑表面是弧形的。
7.如权利要求1所述的装置,还包括:
具有上表面的支撑构件;
设置在所述上表面上的多个间隔件,所述间隔件中的至少一个具有滚动体支撑表面,所述滚动体支撑表面形成于所述间隔件中并将所述滚动体支撑于其上。
8.如权利要求7所述的装置,其中所述间隔件与所述支撑构件键入连接以固定所述间隔件相对于所述支撑构件的方向。
9.如权利要求7所述的装置,还包括:
连接到所述间隔件并将所述滚动体保持在所述支撑表面的保持架,所述保持架具有朝上的开口,所述滚动体的一部分延伸穿过所述开口。
10.如权利要求9所述的装置,还包括:
设置在所述滚动体和所述保持架的顶部之间的垫圈,所述垫圈具有大于所述开口宽度的直径。
11.如权利要求1所述的装置,其中所述滚动体被向着所述基片支撑构件的中心偏置。
12.如权利要求1所述的装置,还包括:
接触所述滚动体并侧向推进所述滚动体的偏置构件。
13.如权利要求12所述的装置,其中所述偏置构件还包括:
弹簧;和
被所述弹簧推进抵靠在所述滚动体上的接触构件。
14.如权利要求1所述的装置,其中所述滚动体是球形体、椭圆柱形体或者凸轮。
15.如权利要求1所述的装置,其中所述支撑表面还包括:
第一倾斜表面;和
连接到所述第一倾斜表面的第二倾斜表面,其中所述第二倾斜表面具有比所述第一倾斜表面更短的滚动体支撑长度。
16.如权利要求15所述的装置,其中所述第一和第二倾斜表面被弧形表面所连接。
17.如权利要求15所述的装置,其中所述球体能够沿着所述第一和第二倾斜表面行进。
18.一种用于支撑基片的装置,包括:
具有顶部表面的支撑构件;和
设置在所述顶部表面的外周周围、适合于以相对于所述顶部表面被隔开的关系将基片支撑于其上的多个滚动体,所述滚动体被偏置远离所述顶部表面的外周。
19.如权利要求18所述的装置,还包括:
分别支撑一个滚动体的多个倾斜的滚动体支撑表面。
20.如权利要求19所述的装置,其中所述滚动体支撑表面被形成在所述支撑构件中。
21.如权利要求19所述的装置,还包括:
多个间隔件,每个间隔件具有下端和上端,其中所述下端连接到所述支撑构件而所述上端有着形成于其中的所述滚动体支撑表面。
22.如权利要求19所述的装置,其中所述滚动体支撑表面是弧形的。
23.如权利要求19所述的装置,还包括:
将所述滚动体俘获到所述滚动体支撑表面并具有形成于其中的开口的保持架,所述滚动体的一部分延伸穿过所述开口并可沿着所述滚动体支撑表面侧向移动。
24.如权利要求23所述的装置,还包括:
设置在所述滚动体和保持架之间的垫圈,所述垫圈具有大于所述开口宽度的外侧直径。
25.如权利要求18所述的装置,还包括:
连接到所述支撑构件的多个间隔件;
形成在每个间隔件中并支撑一个所述滚动体的滚动体支撑表面;和
连接到每个间隔件并将所述滚动体俘获到所述间隔件的保持架,其中所述保持架具有穿过其而形成的开口,其中所述滚动体的一部分延伸穿过所述开口。
26.如权利要求25所述的装置,其中所述保持架和间隔件配合以使所述滚动体支撑表面与所述开口以预定方向对齐。
27.如权利要求25所述的装置,还包括:
设置在所述滚动体和保持架之间的垫圈,所述垫圈具有大于所述开口宽度的外侧直径。
28.如权利要求18所述的装置,其中所述滚动体被涂敷、电镀或者电抛光。
29.如权利要求18所述的装置,其中所述滚动体涂敷或电镀有铬、铝合金、氮化硅或者氮化钨。
30.如权利要求18所述的装置,还包括:
热耦合于所述支撑构件的加热元件。
31.如权利要求18所述的装置,还包括:
多个间隔件,每个间隔件具有形成于其中的凹入部分,所述凹入部分具有推进所述滚动体进入靠近所述凹入部分的一侧的第一位置的底部表面,其中所述滚动体当位于所述第一位置时与所述凹入部分的壁隔开。
32.一种用于支撑玻璃基片的装置,包括:
室体;
设置在所述室体内的至少一个支撑构件;
多个间隔件,每个间隔件具有上部分和设置在所述支撑构件上的下部分;
形成于至少一个所述间隔件的所述上部分中的凹入部分;和
设置在所述凹入部分中并适合于沿着所述凹入部分侧向滚动的滚动体。
33.如权利要求32所述的装置,其中所述支撑构件还包括热耦合于其上的加热元件。
34.如权利要求32所述的装置,其中所述凹入部分还包括倾斜的滚动体支撑表面。
35.如权利要求33所述的装置,其中所述滚动体支撑表面是弧形的。
36.如权利要求32所述的装置,其中设置在所述凹入部分中的所述滚动体适合于在对齐经过一个公共参考点的方向上侧向移动。
37.如权利要求32所述的装置,其中所述下部分与所述支撑构件键入连接以在旋转方向以预定方向对齐所述间隔件。
38.如权利要求32所述的装置,其中所述室体是热处理室。
39.如权利要求32所述的装置,其中所述室体还包括:
设置在第一侧壁上的第一基片传送端口;和
设置在第二侧壁上的第二基片传送端口。
40.如权利要求32所述的装置,还包括:
将所述滚动体俘获到所述间隔件的保持架,和
穿过所述保持架而形成的开口,所述滚动体的一部分延伸穿过所述开口。
41.如权利要求40所述的装置,还包括:
设置在所述滚动体和保持架之间的垫圈,所述垫圈具有大于所述开口宽度的外侧直径。
42.一种用于支撑玻璃基片的装置,包括:
处理室;
设置在所述室中的具有上表面的多个被加热的支撑构件;
设置在所述每个支撑构件上的多个间隔件,每个间隔件具有上部分和下部分,所述下部分连接到所述支撑构件的所述上表面;和
设置在至少两个所述间隔件的上部分上的滚动体,其中所述至少两个间隔件被设置在所述支撑构件的所述上表面的相对侧并且向着所述支撑构件的中心被偏置,所述滚动体适合以所述玻璃基片与所述支撑构件相隔开的关系支撑所述玻璃基片。
43.如权利要求42所述的装置,其中所述处理室是热处理室。
44.如权利要求42所述的装置,还包括:
将所述滚动体俘获到所述间隔件并具有形成于其中的朝上的开口的保持架,其中所述开口允许所述滚动体的一部分延伸穿过以及远离所述支撑构件的中心而侧向移动。
45.如权利要求43所述的装置,还包括:
设置在所述滚动体和保持架之间的垫圈,所述垫圈具有大于所述开口宽度的外侧直径。
46.一种用于支撑玻璃基片的装置,包括:
负载封闭室,所述负载封闭室具有设置在第一侧壁中的第一基片传送端口和设置在第二侧壁中的第二基片传送端口;
设置在所述负载封闭室中的至少一个支撑构件;
设置在所述支撑构件上的多个间隔件,所述每个间隔件具有上部分和下部分,所述下部分连接到所述支撑构件;和
形成于至少一个所述间隔件的所述上部分中的凹入部分;和
设置在所述凹入部分并适合于沿着所述凹入部分侧向滚动的滚动体。
47.如权利要求46所述的装置,还包括:
将所述滚动体俘获到所述间隔件的所述上部分并具有形成于其中朝上的开口的保持架,所述开口允许所述滚动体的一部分延伸穿过以及沿着所述滚动体侧向移动。
48.如权利要求47所述的装置,还包括:
设置在所述滚动体和保持架之间的垫圈,所述垫圈具有大于所述开口宽度的外侧直径。
49.一种支撑基片的方法,包括:
将滚动体向着支撑件组件的滚动体支撑表面的一侧偏置;
将基片放置在所述滚动体上;以及
热处理所述基片,其中所述热处理步骤导致所述基片膨胀或者收缩,从而滚动所述滚动体远离所述滚动体支撑表面的所述一侧。
50.如权利要求49所述的方法,其中所述热处理的步骤还包括:
加热所述基片。
51.如权利要求49所述的方法,其中所述热处理的步骤还包括:
改变容纳所述支撑件组件的负载封闭室内的气压。
52.一种支撑基片的方法,包括:
将基片支撑在多个滚动体上,其中所述多个滚动体的每一个分别设置在滚动体支撑表面上;以及
改变所述基片的温度以导致所述滚动体沿着所述滚动体支撑表面从起始位置开始侧向移动。
53.如权利要求52所述的方法,还包括:
将所述基片从所述滚动体移开;以及
将所述滚动体偏置以回到所述起始位置。
CNB2004100060615A 2003-02-27 2004-02-27 基片支撑件 Expired - Fee Related CN100353517C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/376,857 2003-02-27
US10/376,857 US6917755B2 (en) 2003-02-27 2003-02-27 Substrate support

Publications (2)

Publication Number Publication Date
CN1542939A true CN1542939A (zh) 2004-11-03
CN100353517C CN100353517C (zh) 2007-12-05

Family

ID=32908017

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100060615A Expired - Fee Related CN100353517C (zh) 2003-02-27 2004-02-27 基片支撑件

Country Status (6)

Country Link
US (1) US6917755B2 (zh)
EP (1) EP1511077A3 (zh)
JP (1) JP4640917B2 (zh)
KR (1) KR100625407B1 (zh)
CN (1) CN100353517C (zh)
TW (1) TWI264081B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103069329A (zh) * 2010-08-30 2013-04-24 康宁股份有限公司 热处理玻璃基材的设备和方法
CN103928382A (zh) * 2013-01-10 2014-07-16 杰宜斯科技有限公司 基底支撑装置和使用该基底支撑装置的热处理装置
WO2016145806A1 (zh) * 2015-03-17 2016-09-22 京东方科技集团股份有限公司 基板支撑针、基板支撑装置和基板取放系统
CN107749407A (zh) * 2017-09-22 2018-03-02 沈阳拓荆科技有限公司 晶圆承载盘及其支撑结构

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060182530A1 (en) * 2005-01-05 2006-08-17 Min-Hsu Wang Wafer loadlock chamber and wafer holder
KR100696375B1 (ko) * 2005-01-10 2007-03-19 삼성전자주식회사 베이크 장치
DE102005003501B4 (de) * 2005-01-25 2014-09-04 Saint-Gobain Industriekeramik Rödental GmbH Vorrichtung zum Stützen, Stapeln und Transportieren von Brenngut
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
EP2018920B1 (en) * 2007-07-26 2011-09-07 Black & Decker, Inc. Anti-kickback device
EP2018921B1 (en) * 2007-07-26 2010-12-22 Black & Decker, Inc. Anti-kick device
KR100976400B1 (ko) 2008-03-26 2010-08-17 주식회사 에스에프에이 화학 기상 증착 장치의 로드락 챔버
US20100122655A1 (en) * 2008-11-14 2010-05-20 Tiner Robin L Ball supported shadow frame
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5501688B2 (ja) * 2009-07-30 2014-05-28 東京エレクトロン株式会社 基板位置合わせ機構、それを用いた真空予備室および基板処理システム
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101130969B1 (ko) * 2009-09-17 2012-04-03 주성엔지니어링(주) 기판 지지부재와 이를 포함하는 기판 가열 장치
JP5835722B2 (ja) * 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
KR101671489B1 (ko) * 2010-07-29 2016-11-02 삼성디스플레이 주식회사 유기물 증발원 및 그를 포함하는 증착 장치
JP5810517B2 (ja) * 2010-12-02 2015-11-11 富士電機株式会社 吸着装置および吸着方法
US9371584B2 (en) * 2011-03-09 2016-06-21 Applied Materials, Inc. Processing chamber and method for centering a substrate therein
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9219174B2 (en) 2013-01-11 2015-12-22 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US9543186B2 (en) * 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
DE102013106461B4 (de) * 2013-06-20 2016-10-27 Kgt Graphit Technologie Gmbh Haltestifte zum Halten von Wafern in Waferbooten und Verfahren zum Herstellen solcher Haltestifte
KR101930011B1 (ko) * 2013-08-22 2018-12-18 주식회사 원익아이피에스 온도 조절 장치 및 기판 처리 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10309012B2 (en) * 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10832936B2 (en) * 2016-07-27 2020-11-10 Lam Research Corporation Substrate support with increasing areal density and corresponding method of fabricating
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US12027407B2 (en) * 2017-07-19 2024-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate support apparatus and method
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US20220298631A1 (en) * 2019-06-21 2022-09-22 Lam Research Corporation Bidirectional indexing apparatus
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4108455A (en) * 1975-12-22 1978-08-22 The Boeing Company Cargo pallet incorporating retractable ball units
FR2591138B1 (fr) * 1985-12-10 1988-04-08 Recif Sa Procede de fabrication d'embouts pour pipettes a vide notamment et les embouts obtenus par la mise en oeuvre du procede.
JPH0697674B2 (ja) * 1986-02-19 1994-11-30 キヤノン株式会社 ボ−ル接触型ウエハチヤツク
JPH0719150Y2 (ja) * 1986-10-31 1995-05-01 日本真空技術株式会社 ウェハ搬送ハンド
KR0155545B1 (ko) * 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
JPH02121347A (ja) * 1988-10-31 1990-05-09 Tokyo Electron Ltd ウエハの位置決め装置
JPH02139935A (ja) * 1988-11-21 1990-05-29 Nec Corp 半導体製造装置
JP2948842B2 (ja) * 1989-11-24 1999-09-13 日本真空技術株式会社 インライン型cvd装置
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
JPH0685739U (ja) * 1993-05-21 1994-12-13 株式会社ニコン 位置決め装置
JPH0751793Y2 (ja) * 1994-05-10 1995-11-22 大日本スクリーン製造株式会社 基板の熱処理装置
JP3503710B2 (ja) * 1994-06-28 2004-03-08 東京エレクトロン株式会社 半導体ウエハの熱処理用搭載治具及び熱処理装置
JPH0870007A (ja) * 1994-08-30 1996-03-12 Dainippon Screen Mfg Co Ltd 基板の熱処理装置
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
US6193506B1 (en) * 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5605574A (en) * 1995-09-20 1997-02-25 Kabushiki Kaisha Toshiba Semiconductor wafer support apparatus and method
JP3328763B2 (ja) 1995-10-30 2002-09-30 エヌティティエレクトロニクス株式会社 縦型ウエハボートのウエハ支持構造
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
KR100245260B1 (ko) * 1996-02-16 2000-02-15 엔도 마코토 반도체 제조장치의 기판 가열장치
JPH09289244A (ja) * 1996-04-22 1997-11-04 Dainippon Screen Mfg Co Ltd 基板整列装置
JPH09293684A (ja) * 1996-04-25 1997-11-11 Toshiba Corp 熱処理用治具
US5788304A (en) * 1996-05-17 1998-08-04 Micron Technology, Inc. Wafer carrier having both a rigid structure and resistance to corrosive environments
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
JP3881062B2 (ja) * 1996-08-14 2007-02-14 大日本スクリーン製造株式会社 基板保持機構および基板処理装置
US5836575A (en) * 1996-10-30 1998-11-17 Micron Electronics, Inc. Wafer manual handpick station
US5984391A (en) * 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
KR100284567B1 (ko) * 1997-04-15 2001-04-02 후지이 아키히로 수직 웨이퍼 보트
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6077026A (en) * 1998-03-30 2000-06-20 Progressive System Technologies, Inc. Programmable substrate support for a substrate positioning system
US6085670A (en) * 1998-05-05 2000-07-11 Genmark Automation, Inc. Tiltable Z-axis platform based on uni-directional tilt platform
US6213704B1 (en) * 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
JP4175697B2 (ja) * 1998-06-18 2008-11-05 オリンパス株式会社 ガラス基板保持具
KR100405909B1 (ko) * 1998-07-01 2003-11-14 포톤 다이나믹스, 인코포레이티드 대형 기판용 고속 열처리 시스템
JP2000021889A (ja) * 1998-07-07 2000-01-21 Kokusai Electric Co Ltd 加熱体の支持構造
JP2000091406A (ja) 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
JP2000088701A (ja) * 1998-09-17 2000-03-31 Olympus Optical Co Ltd 基板支持具
US6143147A (en) * 1998-10-30 2000-11-07 Tokyo Electron Limited Wafer holding assembly and wafer processing apparatus having said assembly
JP2000150402A (ja) 1998-11-09 2000-05-30 Shin Etsu Handotai Co Ltd 基板支持治具
US6256555B1 (en) * 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
KR100309920B1 (ko) * 1998-12-16 2002-10-25 삼성전자 주식회사 기판의언로딩장치및언로딩방법
JP2000183146A (ja) * 1998-12-18 2000-06-30 Ibiden Co Ltd 静電チャック
JP2000353737A (ja) * 1999-06-14 2000-12-19 Toray Ind Inc 基板整列装置
US6187134B1 (en) * 1999-07-09 2001-02-13 The Board Of Trustees Of The Leland Stanford Junior University Reusable wafer support for semiconductor processing
US6322116B1 (en) * 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103069329A (zh) * 2010-08-30 2013-04-24 康宁股份有限公司 热处理玻璃基材的设备和方法
CN103069329B (zh) * 2010-08-30 2016-04-20 康宁股份有限公司 热处理玻璃基材的设备和方法
CN103928382A (zh) * 2013-01-10 2014-07-16 杰宜斯科技有限公司 基底支撑装置和使用该基底支撑装置的热处理装置
CN103928382B (zh) * 2013-01-10 2017-01-18 杰宜斯科技有限公司 基底支撑装置和使用该基底支撑装置的热处理装置
WO2016145806A1 (zh) * 2015-03-17 2016-09-22 京东方科技集团股份有限公司 基板支撑针、基板支撑装置和基板取放系统
CN107749407A (zh) * 2017-09-22 2018-03-02 沈阳拓荆科技有限公司 晶圆承载盘及其支撑结构
CN107749407B (zh) * 2017-09-22 2020-08-28 沈阳拓荆科技有限公司 晶圆承载盘及其支撑结构

Also Published As

Publication number Publication date
EP1511077A2 (en) 2005-03-02
JP2004260191A (ja) 2004-09-16
KR100625407B1 (ko) 2006-09-19
US6917755B2 (en) 2005-07-12
EP1511077A8 (en) 2005-07-20
US20040170407A1 (en) 2004-09-02
EP1511077A3 (en) 2006-08-23
TW200426968A (en) 2004-12-01
JP4640917B2 (ja) 2011-03-02
KR20040077554A (ko) 2004-09-04
CN100353517C (zh) 2007-12-05
TWI264081B (en) 2006-10-11

Similar Documents

Publication Publication Date Title
CN1542939A (zh) 基片支撑件
CN1572014A (zh) 衬底支撑件
EP1116261B1 (en) Method and apparatus for cooling substrates
EP1390968B1 (en) Smooth multipart substrate support member for cvd
US8216422B2 (en) Substrate support bushing
US8911151B2 (en) Substrate support bushing
US7461794B2 (en) Substrate temperature regulating support pins
KR20210001021U (ko) 비-스크래칭의 내구성 기판 지지 핀
US20090211742A1 (en) Cooling plates and semiconductor apparatus thereof
US6957690B1 (en) Apparatus for thermal treatment of substrates
JP2003257958A (ja) 熱処理用ボート及び縦型熱処理装置
KR102399208B1 (ko) 측벽으로부터의 피처부들을 갖는 프로세싱 챔버

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071205