CN1572014A - 衬底支撑件 - Google Patents
衬底支撑件 Download PDFInfo
- Publication number
- CN1572014A CN1572014A CNA028206304A CN02820630A CN1572014A CN 1572014 A CN1572014 A CN 1572014A CN A028206304 A CNA028206304 A CN A028206304A CN 02820630 A CN02820630 A CN 02820630A CN 1572014 A CN1572014 A CN 1572014A
- Authority
- CN
- China
- Prior art keywords
- spheroid
- supporting member
- substrate
- support
- pod
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 128
- 239000011521 glass Substances 0.000 claims description 62
- 238000010438 heat treatment Methods 0.000 claims description 20
- 238000007789 sealing Methods 0.000 claims description 13
- 238000007747 plating Methods 0.000 claims description 7
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 5
- 238000000137 annealing Methods 0.000 claims description 2
- 229910000838 Al alloy Inorganic materials 0.000 claims 3
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims 3
- 229910052804 chromium Inorganic materials 0.000 claims 3
- 239000011651 chromium Substances 0.000 claims 3
- 230000002093 peripheral effect Effects 0.000 claims 3
- 229910052721 tungsten Inorganic materials 0.000 claims 3
- 239000010937 tungsten Substances 0.000 claims 3
- -1 tungsten nitride Chemical class 0.000 claims 3
- 230000003746 surface roughness Effects 0.000 claims 2
- 238000000034 method Methods 0.000 abstract description 14
- 238000006243 chemical reaction Methods 0.000 abstract description 10
- 239000000463 material Substances 0.000 description 15
- 239000011248 coating agent Substances 0.000 description 14
- 238000000576 coating method Methods 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 239000000356 contaminant Substances 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 239000010408 film Substances 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 239000010935 stainless steel Substances 0.000 description 4
- 229910001220 stainless steel Inorganic materials 0.000 description 4
- 208000037656 Respiratory Sounds Diseases 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 230000002950 deficient Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 239000010410 layer Substances 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 239000004411 aluminium Substances 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 229910017083 AlN Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910001209 Low-carbon steel Inorganic materials 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 239000011796 hollow space material Substances 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 229910001026 inconel Inorganic materials 0.000 description 1
- 238000007726 management method Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 238000005096 rolling process Methods 0.000 description 1
- 238000007665 sagging Methods 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 238000006748 scratching Methods 0.000 description 1
- 230000002393 scratching effect Effects 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 230000035882 stress Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/6875—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/67303—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
- H01L21/67309—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
Abstract
本发明提供了用于支撑衬底的装置。在一个实施例中,提供了一种具有主体和上部分的衬底支撑件,所述上部分具有承窝和球体,所述球体适合使所述衬底支撑件与支撑于其上的衬底之间的摩擦和/或化学反应最小化。所述衬底支撑件可以被用在例如负载封闭的室以及进行热处理的各种室中。
Description
本发明涉及2001年9月24日提交的美国专利申请No.09/963,020(代理人案卷No.6181/AKT/BG),在此通过全文引用结合于此。
技术领域
本发明的实施例涉及衬底支撑件。
背景技术
迄今已经在大型玻璃衬底或者玻璃板上制成了薄膜晶体管以用于监视器、平面显示器、太阳能电池、个人数字助理(PDA)、蜂窝电话等。这些晶体管是通过在真空室内顺序地沉积包括非晶硅、掺杂和未掺杂的氧化硅、氮化硅等的各种膜而制成的。用于沉积薄膜的一种方法是化学气相沉积(CVD)。
CVD是一种相对高温的工艺,要求衬底经得住约300摄氏度到400摄氏度的温度,而预计其更高温度的工艺超过500摄氏度。CVD膜处理已经广泛地用于在衬底上制作集成电路。但是,由于玻璃是非常易碎并且当被加热到高温时易出现松垂、扭曲、裂纹的介电材料,所以必须小心以避免在加热和冷却过程中造成的热应力和由此引起的损坏。
现在存在有用来在处理之前预热衬底和进行处理之后的热处理操作的系统。传统的加热室具有一个或者多个被加热的搁板,用于加热单个或者多个衬底。玻璃衬底一般被支撑在间隔件上,并位于搁板的上方,以提高热均匀性和处理量。为了使成本最小化,传统的间隔件一般由诸如不锈钢、铝、氮化铝等易加工的金属形成。但是,传统的间隔件可能擦伤或者以其它方式损坏玻璃的表面,可能造成该玻璃表面上的缺陷。例如,用于产生低温多晶硅膜的退火处理需要将衬底加热到大约550摄氏度,这可以导致尺寸为900mm的衬底热膨胀4mm。这种热膨胀造成玻璃衬底沿着在加热和冷却过程中支撑该玻璃衬底的间隔件滑动。所造成的玻璃衬底与间隔件之间的摩擦已经被证明会导致划痕、裂纹以及玻璃衬底上的其它畸变缺陷。例如,衬底经常被分割成多块板,并且可能沿着划痕或者其它缺陷断开而不是沿着希望的位置断开,致使一块或者多块衬底有缺陷。
在某些情况下,与玻璃接触的间隔件的部分被认为可能与玻璃反应并暂时地结合在玻璃上。当以后这样的结合被断开时,先前反应的残留物残留在间隔件上,增加了在处理期间损坏随后的衬底的可能性。另外,残留物可能成为热处理室中的沾染物源。而且,由衬底和间隔件之间的结合造成的残留物可能起到间隔件与其它衬底之间的随后的化学反应的催化剂的作用,或者进一步恶化间隔件支撑表面或者缩短间隔件的寿命。
因此,人们需要一种减小或消除处理过程中衬底损坏的支撑件。
发明内容
在本发明的一个方面中,提供了一种用于支撑衬底的装置。在一个实施例中,用于支撑衬底的装置包括第一部分和第二部分。所述第二部分包括保持球体的承窝。所述球体适合将衬底支撑于其上,同时使所述衬底与所述球体之间的摩擦和/或化学反应最小化。
在另一个实施例中,提供了一种用于支撑衬底的装置,该装置包括具有连接于其上的至少一个支撑构件的室体。在所述支撑构件上设置有一个或者多个球体。所述球体可旋转,适合以相对于所述支撑构件被隔开的关系支撑所述玻璃衬底。在其它实施例中,所述装置可用于加热室和负载封闭室中,其中在这些室中在衬底发生热变化的过程中不希望损坏或者沾染衬底。
附图说明
为了实现和具体地理解本发明的上述特征、优点和目标,以下将参照附图中所示的本发明实施例对以上简单概括的本发明做更为详细的描述。
但是应该认识到,附图仅仅图示了本发明的典型实施例,因此并不视为是对其范围的限制,而本发明可以包括其它同样有效的实施例。
图1是具有多个支撑构件和间隔件的加热室的一个实施例的截面图。
图2是具有设置于其上的多个间隔件的搁板/支撑构件的一个实施例的俯视图。
图3是传统间隔件的一个实施例的侧视图。
图4A是本发明间隔件的一个实施例的截面图。
图4B是本发明间隔件的另一个实施例的截面图。
图5是沿图4A的截线5-5所取的球体的一个实施例的截面图。
图6A是本发明的间隔件的另一个实施例的截面图。
图6B是本发明的间隔件的另一个实施例的截面图。
图6C是本发明的间隔件的另一个实施例的截面图。
图7是本发明的间隔件的另一个实施例的截面图。
图8是本发明的间隔件的另一个实施例的截面图。
图9是沿图8的截线9-9所取的图8的间隔件的截面图。
图10A是支撑构件的负载封闭室(load lock chamber)的一个实施例的截面图,所述支撑构件具有设置于其上的多个间隔件。
图10B是支撑构件的负载封闭室的另一个实施例的截面图,所述支撑构件具有设置于其上的多个间隔件。
具体实施方式
本发明一般地涉及用于支撑衬底的、有利地适用于减少衬底损坏的间隔件。尽管这种间隔件在衬底要经受温度变化的室中尤其有效,但是该间隔件也适于使用在希望避免划伤衬底的其它室中。
图1图示了设置在代表性的加热室10中的被支撑在多个间隔件30、50上的玻璃衬底32。加热室10包括由轴92可移动地支撑在室10内的盒体90。盒体90包括侧壁12、14、下盘16和盖18。加热室10包括侧壁15。邻近于处理系统(未示出)在侧壁15上设置了一个端口96,图2中以虚线示出,该端口96装配有长槽阀(slit valve)94,通过该长槽阀94玻璃衬底32能够从处理系统被传送进加热室10内的盒体90以及从所述盒体90传送出。
回到图1,侧壁12和14装配有适合的加热线圈20、22,用于控制盒体90的温度。加热线圈20、22可以是电阻加热器和/或用于循环传热气体或液体的导管。下盘16分别装配有入口管24和出口管26和/或通道27,入口管24和出口管26用于循环温度受控的液体,而通道27用于为连接到电源(未示出)的加热线圈20、22的线缆提供通路。
侧壁12、14的内侧装配有多个支撑构件28。在图1所示的实施例中,支撑构件28是设置在侧壁12、14之间的导热隔板。支撑构件28与侧壁12、14具有良好的热接触,以允许通过线圈20、22快速、均匀地控制支撑构件28和置于其上的玻璃衬底32的温度。可用于支撑构件28的材料的例子包括,但不限于,铝、铜、不锈钢、复合铜等。或者,加热线圈20、22可以被嵌入在支撑构件28中。
如图2所示,一个或者多个外侧间隔件30被适当地布置在支撑构件28上,以支撑玻璃衬底32的外周。一个或者多个内侧间隔件50被设置在支撑构件28上,以支撑玻璃衬底32的内侧部分。在图2所示的实施例中,在支撑构件28的相对两侧上分别设置了三个间隔件30来支撑玻璃衬底32的外周,同时在间隔件30的内侧设置了两个间隔件50来支撑玻璃衬底32的中心部分。或者也可以采用其它的构造。
回到图1,间隔件30、50起到在盒体90内支撑玻璃衬底32的作用,以使得在支撑构件28和玻璃衬底32之间存在一个间隙44。间隙44防止支撑构件28直接接触玻璃衬底32,这种接触可能使玻璃衬底32产生应力或裂纹,或者导致沾染物被从支撑构件28转移到玻璃衬底32上。盒体90内的玻璃衬底32通过辐射和气体传导被间接地加热,而不是通过玻璃衬底32与支撑构件28之间的直接接触。另外,交叉放置玻璃衬底32和支撑构件28允许从上方和下方两处来加热玻璃衬底32,从而提供了对玻璃衬底32的更快速、更均匀的加热。
图3是外侧间隔件30的一个实施例的侧视图。外侧间隔件30一般由不锈钢构成并且是圆柱体形状的。外侧间隔件30具有第一端90和第二端92。第一端90设置在支撑构件28上。第二端92以相对于支撑构件28被隔开的关系支撑玻璃衬底32。第二端92的边缘一般包括圆角或者倒角94。第二端92或者可以包括全圆角(full radius)以使与衬底的接触面积最小化。
图4A是内侧间隔件50的一个实施例的截面图。外侧间隔件30同样可以选择性地被类似地构造。可以为了制造的方便,以及在一些实施例中为了低成本,可以对用于形成内侧间隔件50的材料进行选择。内侧间隔件50一般由不锈钢、低碳钢、ICONEL、镍合金以及其它适合的材料制成。
内侧间隔件50通常包括第一部分56和第二部分57。第一部分56一般具有圆柱体横截面,当然也可以采用其它几何构形。第二部分57包括保持球体62的承窝64,所述球体62接触并支撑玻璃衬底32。
在一个实施例中,第一部分56具有适合接收从支撑构件28突出的安装销58的中空部分72。销58将内侧间隔件50定位在盒体内其相应的支撑构件28上。使用安装销58而不是将内侧间隔件50直接固定到支撑构件28上的一个好处在于内侧间隔件50和支撑构件28的材料选择标准可以不一样。通过使用销58,内侧间隔件50可以独立于相邻支撑构件28的膨胀和收缩而膨胀和收缩。或者,可以采用其它方法或设备将内侧间隔件50连接到支撑构件28上。例如,可以采用粘接、压配合、焊接、铆接、螺纹连接等将内侧间隔件50安装到支撑构件28上。应该认识到,将间隔件50的实施例安装或者固定到支撑构件28的其它方法也可以考虑。
内侧间隔件50的第二部分57通常包括球体62和承窝64。在一个实施例中,承窝64包括球体支撑66,所述球体支撑66包括具有半径“R”的弧形表面68。球体支撑66的弧形表面68提供了与球体62的单个接触点,该球体62的半径“r”小于半径“R”。
在图4A所示的实施例中,球体支撑66的外侧部分88被攻丝并与承窝64的内侧部分84咬合,其中所述承窝64形成了用于保持球体62的圆柱形侧壁82的一部分。侧壁82一般具有渐缩的、陷型的(swaged)或者其它形状的端部80,该端部80将球体62保持在承窝64内。一般,在球体62和端部80之间留有较小的空隙,以允许球体62在承窝内旋转和/或横向移动。或者,端部80和侧壁82可以被构造成允许当衬底32在其上移动时球体62沿着球体支撑表面66滚动(见图4B)。球体62相对于中心支撑件30的横向移动允许衬底32沿着球体62滚动而不发生划伤。或者,球体支撑表面66的圆锥形表面在衬底32被移除的时候将球体62置于承窝64的中心,并将中心支撑件30返回到为下一个衬底准备就绪的状态。换句话说,一旦衬底被移除,圆锥形球体支撑表面66将球体62重新置于中心。在另一个实施例中,球体支撑66可以包括用于接触和保持球体62的其它表面几何构形。
图5是沿图4A的截线5-5所取的球体62的一个实施例的截面图。球体62一般由金属或者非金属材料构成。另外,球体62可以减小球体62与玻璃衬底32之间的摩擦并/或抑制它们之间的化学反应。一般,球体62由金属或者金属合金、石英、蓝宝石、氮化硅或者其它适合的非金属材料构成。在一个实施例中,球体62具有4微英寸的表面光洁度或更光滑。
作为选择,球体62可以被电抛光、涂覆或者镀覆涂层70。例如,涂层70可以具有足够的厚度来提供减小球体62与玻璃衬底32之间摩擦的阻挡层。玻璃衬底32与球体62之间摩擦的减小基本上防止了由玻璃衬底32与球体62之间的摩擦、振动、热膨胀或者其它接触导致的对玻璃衬底32的损坏。附加地或者作为替代,涂层70可以减少组成球体62与玻璃衬底32的材料之间的化学反应。在另一个实施例中,间隔件50的其它部分可以类似地被涂覆以减小其间的摩擦和/或化学反应。
能够减少或者消除球体62与玻璃衬底32之间摩擦的涂层70可以通过化学气相沉积(CVD)氮化处理、物理气相沉积(PVD)溅射处理、喷涂、镀覆或者其它处理被沉积。在一个实施例中,涂层70具有至少约为3微米的厚度。在另一个实施例中,涂层70被形成为厚度在大约3微米到大约20微米之间。在另一个例子里,如上所述的球体62可以被放置在反应室中并被暴露于包括氨和/或氮气和/或氢气和/或其它还原气体的气氛中以在球体62被暴露的表面上形成氮化涂层。在另一个实施例中,涂层70通过例如PVD的溅射处理形成,以在球体62的外侧表面上形成氮化表面,并且涂层70包括例如氮化钛。
表面涂层70一般为球体62提供光滑的外侧表面。可以认为,以上所描述的表面涂层70的可替换实施例保持至少与球体62的原始光洁度同样光滑的光滑表面。或者,涂层70可以通过例如电抛光或者其它方法处理以提高涂层70的光洁度。也可以认为,具有上述表面涂层70的内侧间隔件50会减少与支撑在内侧间隔件50上的玻璃衬底32之间的摩擦,并且在一些实施例中,附加地或者作为替代,会减少球体62和/或放置于其上的玻璃32内的沾染物之间的化学反应。作为选择,涂层70可以应用于外侧间隔件30。
应该认识到,根据本发明的一些方面制造的内侧间隔件50适合于在250摄氏度以上进行的热处理操作。使用本发明的内侧间隔件50也可以进行其它热处理操作,例如用于制造低温多晶硅的热处理工艺。可以认为,根据本发明制造的间隔件50依据应用和玻璃材料的特性而适合于在大约450摄氏度以上,直到并包括600摄氏度所进行的热处理操作。还可以认为,根据本发明制造的间隔件50会减小当玻璃衬底32在内侧间隔件50上移动时发生摩擦的可能性。此外,可以认为上述表面涂层70可以提供附加的保护层,该保护层既减少球体62与所支撑的玻璃衬底32之间摩擦损坏的可能性,同时又起到作为阻挡层防止玻璃衬底32与球体62的沾染物或者金属之间反应的作用。
以上已经示出和描述了作为减少衬底损坏的中心支撑件的内侧间隔件50的实施例。上述实施例示出了作为中心支撑件的内侧间隔件50,而传统的外侧间隔件30可以被用于支撑玻璃衬底32的外周。应该认识到,作为选择,外侧间隔件30的一些或者全部可以被构造成与内侧间隔件50类似或者相同。
尽管内侧间隔件50已经结合具体材料被描述了,但是应该认识到其它热处理应用可以采用由其它不同材料制造的间隔件50,并且可以使用上述那些材料以外的用于涂层70的替代材料。
图6A示出了内侧间隔件150的另一个实施例。内侧间隔件150被构造成类似于内侧间隔件50,只是内侧间隔件150将球体62支撑在圆锥形表面152上。圆锥形表面152一般将球体62置于内侧间隔件150内的中心,同时允许球体62基本上自由地旋转。
图6B示出了内侧间隔件600的另一个实施例,其中间隔件600的球体支撑表面612被结合到支撑构件28中。球体62处于各个球体支撑表面612上,并将衬底32与支撑构件28保持为被隔开的关系。球体支撑表面612可以是平坦的、圆锥形的、球面形的或者其它允许球体62在间隔件600内横向移动并/或旋转的几何构形。
图6C示出了内侧间隔件650的另一个实施例,其中,例如,为了提高热传导性,希望衬底32和支撑构件之间间隔更近。球体支撑表面602在支撑构件28中凹入一定深度,所述深度使得允许球体62与支撑构件28之间的距离604恰好满足衬底32与支撑构件28之间的间隙。球体支撑表面602可以是平坦的、圆锥形的、球面的或者其它允许球体62在间隔件650内横向移动和/或旋转以防止划伤或者以其它方式损坏衬底32的几何构形。作为选择,可以在将球体支撑表面602连接到支撑构件28表面的侧壁608上设置保持环606,以防止球体62掉出支撑构件28。支撑构件28附加地包括多个顶杆610(示出了其中之一)。顶杆610可以通过常用设备致动以允许衬底传送机构(未示出)能够进入衬底32与支撑构件28之间,以方便衬底传送。
图7示出了内侧间隔件250的另一个实施例。内侧间隔件250被构造成类似于内侧间隔件50和150,只是内侧间隔件250将球体62支撑在多个内置支撑球252上。支撑球252一般设置在球体支撑表面66中的各自的凹陷部分254中。或者,凹陷部分254可以包括保持多个支撑球252的单个圆环或者凹槽。支撑球252一般在内侧间隔件250内将球体62置于中心,同时允许球体62随着衬底在其上移动而基本上自由地旋转。
尽管本发明已经被描述为与玻璃衬底32一起使用,但是本发明的内侧间隔件的其它实施例可以被用来减少内侧间隔件与不同的衬底材料之间的摩擦损坏和/或化学反应。尽管本发明被描述成用于上述加热系统10中,但是可以使用其它热处理系统和室。本发明的方法和装置可以独立地被实施而与应用本发明实施例的室的类型无关。
图8示出了内侧间隔件350的另一个实施例。内侧间隔件350被构造成类似于内侧间隔件50、150和250,只是内侧间隔件350将球体62支撑在支撑球352的阵列上。球体62一般具有半径R’,支撑球352具有直径d。支撑球352一般设置在球体支撑表面366上。球体支撑表面366的半径R”一般大于R’+d的和。球体支撑表面366的更大的半径一般允许球体62随着衬底32在其上移动而沿着球体支撑表面366自由旋转并/或横向移动。
图9示出了沿图8的截线9-9所取的内侧间隔件350的截面图,示出了包括十六(16)个支撑球352的支撑球352阵列的一个实施例。当然还可以预见到具有包括不同数量的支撑球352的阵列的实施例。
图10A示出了负载封闭室1000和设置于其中的至少一个内侧间隔件50的一个实施例的截面图。负载封闭室1000一般包括具有两个玻璃传送端口1004(图10A中仅示出一个)的室体1002。每个玻璃传送端口1004被长槽阀1008(示以虚线)选择性地密封。负载封闭室1000设置在容纳于例如分别连接到传送端口1004的室(未示出)中的第一气氛和真空气氛之间,被用来允许将玻璃衬底32通过邻近的传送端口1004传送进和传送出真空气氛而不损失真空。
室体1002附加地包括泵吸端口1010,通过该泵吸端口1010可以调节室体1002内的压强。作为选择,室体1002可以包括通风口1012,用于从真空条件开始提升室体1002内的压强。一般,通过通风口1012进入室1000的空气或者流体经过过滤器1014,以使进入室1000的微粒最小化。这样的过滤器一般可以从新泽西州Riverdale的Camfil-USA公司购买到。
盒体1006可移动地设置在室体1002中,其包括连接到升降轴1020的下平板1016和上平板1018。盒体1006被构造成将第一衬底32支撑在从下平板1016延伸出的一个或者多个间隔件30和至少一个间隔件50上,而将第二衬底(未示出)支撑在从上平板1018延伸出的一个或者多个间隔件30和至少一个间隔件50上。盒体1006可以被升高或者降低以使支撑在盒体1006上的任意一个衬底与端口1004对齐。
室体1002还可以包括冷却板1022。冷却板1022具有允许从下平板1016延伸出的间隔件30、50穿过的多个孔。当盒体1006被降低时,使位于间隔件30、50上的衬底32移动,以更靠近冷却板1022。循环经过冷却板1022的传热流体将从衬底32传递到冷却板1022的热带走,从而降低衬底32的温度。这样,间隔件50允许衬底32在负载封闭室1000内膨胀或者收缩而不擦伤或者以其它方式损坏衬底。1999年12月15日提交的美国专利申请No.09/464,362(代理人案卷No.3790)中描述了一种适合于从本发明受益的负载封闭室,在此通过全文引用结合于此。
图10B示出了负载封闭室1100和设置于其中的至少一个内侧间隔件50的另一个实施例的截面图。负载封闭室1100一般包括具有两个玻璃传送端口1104(图10B中只示出了一个)的室体1102。每个玻璃传送端口1104选择性地被长槽阀1108(示以虚线)所密封。负载封闭室1100被设置在容纳于例如分别连接到传送端口1104的室(未示出)中的第一气氛和真空气氛之间,被用来准许通过邻近的传送端口1104将玻璃衬底32(示以虚线)传送进和传送出真空气氛而不损失真空。
多个衬底32各自被支撑在室体1102内、支撑构件1160上(为了清晰,图10B中只示出了一个衬底32)。支撑构件1160可以被连接到室体1102或者被设置在可移动盒体1162内。在图10B所示实施例中,可移动盒体1162包括连接到12个垂直叠置的支撑构件1160的至少一个间隔件30和至少一个间隔件50。这样,当衬底32膨胀或者收缩时,衬底32能够在间隔件50上移动而不擦伤或者以其它方式损坏衬底。一种适合于从本发明受益的负载封闭室可以从加利福尼亚州Santa Clara的应用材料公司(Applied Materials)的分公司AKT购买到。
尽管上文是针对本发明的实施例的,但是在不背离本发明的基本范围的情况下可以设计出本发明的其它的以及进一步的实施例,本发明的范围由所附权利要求确定。
Claims (46)
1.一种用于在室中支撑衬底的装置,其中所述室具有连接到所述室的至少一个衬底支撑构件,该装置包括:
具有第一部分和第二部分的主体,所述第一部分适合与所述支撑构件接合;
设置在所述第二部分中并具有球体支撑表面的承窝;和
可旋转地设置在所述承窝中的球体支撑表面上的球体,所述球体适合接触衬底并将衬底支撑于其上。
2.如权利要求1所述的装置,其中所述球体被涂覆、镀覆或者电抛光。
3.如权利要求1所述的装置,其中所述球体被涂覆或者镀覆有铬、铝合金、氮化硅或者氮化钨。
4.如权利要求1所述的装置,其中所述球体支撑表面的半径大于所述球体的半径。
5.如权利要求1所述的装置,其中所述球体支撑表面是圆锥形的。
6.如权利要求1所述的装置,其中所述球体支撑表面还包括:
至少一个凹陷部分或者凹槽;和
设置在所述凹陷部分或者凹槽中的支撑所述球体的多个球体支撑球。
7.如权利要求1所述的装置,还包括:
设置在所述球体支撑表面和所述球体之间的多个球体支撑球。
8.一种用于支撑玻璃衬底的装置,包括:
室体;
连接到所述室体的至少一个支撑构件;和
设置在所述支撑构件上的一个或者多个球体,所述球体可旋转,适合以相对于所述支撑构件被隔开的关系支撑所述玻璃衬底。
9.如权利要求8所述的装置,还包括:
具有第一部分和第二部分的间隔件,所述第一部分设置在所述支撑构件上,而所述第二部分具有将所述球体可旋转地保持于其中的承窝。
10.如权利要求9所述的装置,其中所述承窝还包括:
设置在圆柱形侧壁内部的球体支撑。
11.如权利要求10所述的装置,其中所述球体支撑还包括:
具有与所述球体接触的单个接触点的弧形表面。
12.如权利要求10所述的装置,其中所述球体支撑还包括:
接触所述球体的圆锥形表面。
13.如权利要求10所述的装置,其中所述球体支撑在所述承窝内将所述球体置于中心。
14.如权利要求8所述的装置,其中所述球体具有4微英寸的表面粗糙度或者更光滑。
15.如权利要求9所述的装置,还包括:
连接到所述支撑构件的多个安装销,每个销连接各自的间隔件。
16.如权利要求15所述的装置,其中所述第一部分是中空的,并接收所述安装销的至少一部分。
17.如权利要求8所述的装置,其中所述球体中的至少一个被定位以支撑所述衬底的中心部分。
18.如权利要求8所述的装置,其中所述球体的一些支撑所述衬底的外周部分,而所述球体中的至少一个被定位以支撑所述衬底的中心部分。
19.如权利要求8所述的装置,其中具有固定顶表面的多个间隔件支撑所述衬底的外周部分,所述球体中的至少一个被定位以支撑所述衬底的中心部分。
20.如权利要求8所述的装置,其中所述球体被涂覆、镀覆或者电抛光。
21.如权利要求8所述的装置,其中所述球体被涂覆或者镀覆有铬、铝合金、氮化硅或者氮化钨。
22.如权利要求8所述的装置,其中每个支撑构件还包括:
设置在所述支撑构件和所述球体之间的多个球体支撑球。
23.一种用于支撑玻璃衬底的装置,包括:
室体;
连接到所述室体的至少一个支撑构件;
设置在所述支撑构件上的一个或者多个球体,所述球体可旋转,适合以相对于所述支撑构件被隔开的关系支撑所述玻璃衬底;和
具有第一部分和第二部分的间隔件,所述第一部分设置在所述支撑构件上,所述第二部分具有将所述球体可旋转地保持于其中的承窝。
24.如权利要求23所述的装置,其中所述承窝还包括:
设置在圆柱形侧壁内部的球体支撑表面。
25.如权利要求24所述的装置,其中所述球体支撑表面还包括:
具有与所述球体接触的单个接触点的弧形表面。
26.如权利要求24所述的装置,其中所述球体支撑表面还包括:
接触所述球体的圆锥形表面。
27.如权利要求24所述的装置,其中所述球体支撑表面在所述承窝内将所述球体置于中心。
28.如权利要求23所述的装置,其中所述球体具有4微英寸的表面粗糙度或者更光滑。
29.如权利要求23所述的装置,还包括:
连接到所述支撑构件的多个安装销,每个销连接各自的间隔件。
30.如权利要求29所述的装置,其中所述第一部分是中空的,并接收所述安装销的至少一部分。
31.如权利要求23所述的装置,其中所述球体中的至少一个被定位以支撑所述衬底的中心部分。
32.如权利要求23所述的装置,其中多个所述间隔件包括具有支撑所述衬底外周部分的非旋转表面的第一组和具有支撑所述衬底中心部分的球体的第二组。
33.如权利要求23所述的装置,其中所述球体被涂覆、镀覆或者电抛光。
34.如权利要求23所述的装置,其中所述球体被涂覆或者镀覆有铬、铝合金、氮化硅或者氮化钨。
35.如权利要求23所述的装置,其中所述室体是热处理室。
36.如权利要求23所述的装置,其中所述室体还包括:
设置在第一侧壁上的第一衬底传送端口;和
设置在第二侧壁上的第二衬底传送端口。
37.如权利要求23所述的装置,其中所述室体还包括:
设置第一侧壁上的第一衬底传送端口;和
设置在第二侧壁上的第二衬底传送端口。
38.如权利要求23所述的装置,还包括:
设置在所述支撑构件的球体支撑表面和所述球体之间的多个球体支撑球。
39.如权利要求23所述的装置,其中所述球体相对于所述支撑构件横向移动。
40.一种用于支撑玻璃衬底的装置,包括:
具有至少一个侧壁的衬底加热室;
连接到所述侧壁的多个支撑构件;
设置在各个支撑构件上的至少一个间隔件,所述间隔件具有第一部分和第二部分,所述第一部分设置在所述支撑构件上,所述第二部分具有承窝;和
被可旋转地设置在所述承窝中的球体,所述球体适合以相对于所述支撑构件被隔开的关系支撑所述玻璃衬底。
41.如权利要求40所述的装置,其中所述衬底加热室是退火室。
42.如权利要求40所述的装置,还包括:
设置在所述承窝的球体支撑表面和所述球体之间的多个球体支撑球。
43.如权利要求40所述的装置,其中所述球体相对于所述承窝横向移动并且/或者旋转。
44.一种用于支撑玻璃衬底的装置,包括:
负载封闭室,具有设置在第一侧壁中的第一衬底传送端口和设置在第二侧壁中的第二衬底传送端口;
设置在所述室中的至少一个支撑构件;
设置在所述支撑构件上的至少一个间隔件,所述间隔件具有第一部分和第二部分,所述第一部分设置在所述支撑构件上,所述第二部分具有承窝;和
被可旋转地设置在所述承窝中的球体,所述球体适合以相对于所述支撑构件被隔开的关系支撑所述玻璃衬底。
45.如权利要求44所述的装置,还包括:
设置在所述承窝的球体支撑表面和所述球体之间的多个球体支撑球。
46.如权利要求44所述的装置,其中所述球体相对于所述承窝横向移动并且/或者旋转。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/982,406 US20030072639A1 (en) | 2001-10-17 | 2001-10-17 | Substrate support |
US09/982,406 | 2001-10-17 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN1572014A true CN1572014A (zh) | 2005-01-26 |
Family
ID=25529137
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA028206304A Pending CN1572014A (zh) | 2001-10-17 | 2002-09-24 | 衬底支撑件 |
Country Status (7)
Country | Link |
---|---|
US (1) | US20030072639A1 (zh) |
EP (1) | EP1436829A2 (zh) |
JP (1) | JP2005507162A (zh) |
KR (1) | KR20040034611A (zh) |
CN (1) | CN1572014A (zh) |
TW (1) | TW561575B (zh) |
WO (1) | WO2003034473A2 (zh) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103155119A (zh) * | 2010-08-31 | 2013-06-12 | 泰拉半导体株式会社 | 批处理式基板处理装置 |
CN102084029B (zh) * | 2008-07-04 | 2013-07-24 | Abb技术有限公司 | 用于钝化硅晶片的沉积方法 |
CN103855068A (zh) * | 2012-11-30 | 2014-06-11 | 世界中心科技股份有限公司 | 干法刻蚀设备中的静电吸附板表面凸点的制造方法 |
CN108292109A (zh) * | 2015-12-15 | 2018-07-17 | Asml荷兰有限公司 | 衬底保持器、光刻设备及制造器件的方法 |
CN110273142A (zh) * | 2019-07-31 | 2019-09-24 | 常州时创能源科技有限公司 | 硅片的对位装置和对位方法 |
Families Citing this family (175)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6528767B2 (en) * | 2001-05-22 | 2003-03-04 | Applied Materials, Inc. | Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications |
US20040065656A1 (en) * | 2002-10-04 | 2004-04-08 | Makoto Inagawa | Heated substrate support |
US6917755B2 (en) * | 2003-02-27 | 2005-07-12 | Applied Materials, Inc. | Substrate support |
WO2004097928A1 (en) * | 2003-04-30 | 2004-11-11 | Sang-Ki Lee | Support holder of the substrate and device for centering or feeding using the same |
US20040226513A1 (en) * | 2003-05-12 | 2004-11-18 | Applied Materials, Inc. | Chamber for uniform heating of large area substrates |
US8033245B2 (en) | 2004-02-12 | 2011-10-11 | Applied Materials, Inc. | Substrate support bushing |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20060016398A1 (en) * | 2004-05-28 | 2006-01-26 | Laurent Dubost | Supporting and lifting device for substrates in vacuum |
US7497414B2 (en) | 2004-06-14 | 2009-03-03 | Applied Materials, Inc. | Curved slit valve door with flexible coupling |
US7550381B2 (en) * | 2005-07-18 | 2009-06-23 | Applied Materials, Inc. | Contact clean by remote plasma and repair of silicide surface |
TWI295816B (en) | 2005-07-19 | 2008-04-11 | Applied Materials Inc | Hybrid pvd-cvd system |
KR100711875B1 (ko) | 2005-07-29 | 2007-04-25 | 삼성에스디아이 주식회사 | 유기 발광표시장치 제조용 석영 플레이트 지지장치 |
JP4642610B2 (ja) * | 2005-09-05 | 2011-03-02 | 東京エレクトロン株式会社 | 基板位置合わせ装置および基板収容ユニット |
US20080105201A1 (en) * | 2006-11-03 | 2008-05-08 | Applied Materials, Inc. | Substrate support components having quartz contact tips |
JP2008282858A (ja) * | 2007-05-08 | 2008-11-20 | Ulvac Japan Ltd | 基板支持ピン |
US7942969B2 (en) * | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
US20100122655A1 (en) * | 2008-11-14 | 2010-05-20 | Tiner Robin L | Ball supported shadow frame |
JP5549441B2 (ja) * | 2010-01-14 | 2014-07-16 | 東京エレクトロン株式会社 | 保持体機構、ロードロック装置、処理装置及び搬送機構 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9371584B2 (en) * | 2011-03-09 | 2016-06-21 | Applied Materials, Inc. | Processing chamber and method for centering a substrate therein |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
JP6194733B2 (ja) * | 2013-10-04 | 2017-09-13 | 株式会社島津製作所 | 基板移載システム |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
KR102386998B1 (ko) * | 2015-07-30 | 2022-04-15 | 엘지디스플레이 주식회사 | 서포터 핀 및 이를 포함하는 열처리장치 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
EP3258279A1 (en) * | 2016-06-16 | 2017-12-20 | Multitest elektronische Systeme GmbH | Pressing device and method of pressing a carrier against an electrical contact unit |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US20190027392A1 (en) * | 2017-07-19 | 2019-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Substrate support apparatus and method |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US11033963B1 (en) * | 2017-10-31 | 2021-06-15 | United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration | Method for making small diameter nickel-titanium metal alloy balls |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR200491813Y1 (ko) * | 2019-11-18 | 2020-06-09 | 주식회사 엘에스텍 | 포스비 위치 보정 장치 |
Family Cites Families (97)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3448023A (en) * | 1966-01-20 | 1969-06-03 | Hammond Machinery Builders Inc | Belt type electro-chemical (or electrolytic) grinding machine |
US3873512A (en) * | 1973-04-30 | 1975-03-25 | Martin Marietta Corp | Machining method |
US4108455A (en) * | 1975-12-22 | 1978-08-22 | The Boeing Company | Cargo pallet incorporating retractable ball units |
US4839993A (en) * | 1986-01-28 | 1989-06-20 | Fujisu Limited | Polishing machine for ferrule of optical fiber connector |
JPH0697674B2 (ja) * | 1986-02-19 | 1994-11-30 | キヤノン株式会社 | ボ−ル接触型ウエハチヤツク |
US4801144A (en) * | 1987-09-01 | 1989-01-31 | Roll-A-Puck Limited | Hockey puck |
JPH01193166A (ja) * | 1988-01-28 | 1989-08-03 | Showa Denko Kk | 半導体ウェハ鏡面研磨用パッド |
US4934102A (en) * | 1988-10-04 | 1990-06-19 | International Business Machines Corporation | System for mechanical planarization |
JPH02121347A (ja) * | 1988-10-31 | 1990-05-09 | Tokyo Electron Ltd | ウエハの位置決め装置 |
CH678156A5 (zh) * | 1989-03-20 | 1991-08-15 | Exnii Metallorezh Stankov | |
US5136817A (en) * | 1990-02-28 | 1992-08-11 | Nihon Dempa Kogyo Co., Ltd. | Automatic lapping apparatus for piezoelectric materials |
JPH0424943A (ja) * | 1990-05-15 | 1992-01-28 | Mitsubishi Electric Corp | ウエハチャック |
US5096550A (en) * | 1990-10-15 | 1992-03-17 | The United States Of America As Represented By The United States Department Of Energy | Method and apparatus for spatially uniform electropolishing and electrolytic etching |
US5217586A (en) * | 1992-01-09 | 1993-06-08 | International Business Machines Corporation | Electrochemical tool for uniform metal removal during electropolishing |
US5225034A (en) * | 1992-06-04 | 1993-07-06 | Micron Technology, Inc. | Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing |
EP0725736B1 (en) * | 1992-06-26 | 2000-08-30 | The Gillette Company | Ball point pen |
US5562529A (en) * | 1992-10-08 | 1996-10-08 | Fujitsu Limited | Apparatus and method for uniformly polishing a wafer |
US5534106A (en) * | 1994-07-26 | 1996-07-09 | Kabushiki Kaisha Toshiba | Apparatus for processing semiconductor wafers |
US5567300A (en) * | 1994-09-02 | 1996-10-22 | Ibm Corporation | Electrochemical metal removal technique for planarization of surfaces |
US6017265A (en) * | 1995-06-07 | 2000-01-25 | Rodel, Inc. | Methods for using polishing pads |
US5486282A (en) * | 1994-11-30 | 1996-01-23 | Ibm Corporation | Electroetching process for seed layer removal in electrochemical fabrication of wafers |
US5893796A (en) * | 1995-03-28 | 1999-04-13 | Applied Materials, Inc. | Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus |
US6024630A (en) * | 1995-06-09 | 2000-02-15 | Applied Materials, Inc. | Fluid-pressure regulated wafer polishing head |
US5738574A (en) * | 1995-10-27 | 1998-04-14 | Applied Materials, Inc. | Continuous processing system for chemical mechanical polishing |
US5804507A (en) * | 1995-10-27 | 1998-09-08 | Applied Materials, Inc. | Radially oscillating carousel processing system for chemical mechanical polishing |
JPH09293684A (ja) * | 1996-04-25 | 1997-11-11 | Toshiba Corp | 熱処理用治具 |
US5871392A (en) * | 1996-06-13 | 1999-02-16 | Micron Technology, Inc. | Under-pad for chemical-mechanical planarization of semiconductor wafers |
US6056851A (en) * | 1996-06-24 | 2000-05-02 | Taiwan Semiconductor Manufacturing Company | Slurry supply system for chemical mechanical polishing |
US6183354B1 (en) * | 1996-11-08 | 2001-02-06 | Applied Materials, Inc. | Carrier head with a flexible membrane for a chemical mechanical polishing system |
US6082950A (en) * | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
FR2758285B3 (fr) * | 1997-01-13 | 1998-12-04 | Struers As | Procede de fixation d'un agent abrasif ou de polissage, sous forme de feuille, sur un support magnetique |
US6020264A (en) * | 1997-01-31 | 2000-02-01 | International Business Machines Corporation | Method and apparatus for in-line oxide thickness determination in chemical-mechanical polishing |
US5938801A (en) * | 1997-02-12 | 1999-08-17 | Micron Technology, Inc. | Polishing pad and a method for making a polishing pad with covalently bonded particles |
US5955858A (en) * | 1997-02-14 | 1999-09-21 | Applied Materials, Inc. | Mechanically clamping robot wrist |
US5911619A (en) * | 1997-03-26 | 1999-06-15 | International Business Machines Corporation | Apparatus for electrochemical mechanical planarization |
US5807165A (en) * | 1997-03-26 | 1998-09-15 | International Business Machines Corporation | Method of electrochemical mechanical planarization |
US5990010A (en) * | 1997-04-08 | 1999-11-23 | Lsi Logic Corporation | Pre-conditioning polishing pads for chemical-mechanical polishing |
JPH10329007A (ja) * | 1997-05-28 | 1998-12-15 | Sony Corp | 化学的機械研磨装置 |
US5931719A (en) * | 1997-08-25 | 1999-08-03 | Lsi Logic Corporation | Method and apparatus for using pressure differentials through a polishing pad to improve performance in chemical mechanical polishing |
US6033293A (en) * | 1997-10-08 | 2000-03-07 | Lucent Technologies Inc. | Apparatus for performing chemical-mechanical polishing |
US6103096A (en) * | 1997-11-12 | 2000-08-15 | International Business Machines Corporation | Apparatus and method for the electrochemical etching of a wafer |
AU1468199A (en) * | 1997-11-25 | 1999-06-15 | Johns Hopkins University, The | Electrochemical-control of abrasive polishing and machining rates |
EP1055020A2 (en) * | 1998-02-12 | 2000-11-29 | ACM Research, Inc. | Plating apparatus and method |
US6004880A (en) * | 1998-02-20 | 1999-12-21 | Lsi Logic Corporation | Method of single step damascene process for deposition and global planarization |
US6210257B1 (en) * | 1998-05-29 | 2001-04-03 | Micron Technology, Inc. | Web-format polishing pads and methods for manufacturing and using web-format polishing pads in mechanical and chemical-mechanical planarization of microelectronic substrates |
US6201901B1 (en) * | 1998-06-01 | 2001-03-13 | Matsushita Electronic Industrial Co., Ltd. | Border-less clock free two-dimensional barcode and method for printing and reading the same |
US6447668B1 (en) * | 1998-07-09 | 2002-09-10 | Acm Research, Inc. | Methods and apparatus for end-point detection |
US6395152B1 (en) * | 1998-07-09 | 2002-05-28 | Acm Research, Inc. | Methods and apparatus for electropolishing metal interconnections on semiconductor devices |
US6248222B1 (en) * | 1998-09-08 | 2001-06-19 | Acm Research, Inc. | Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces |
US6176992B1 (en) * | 1998-11-03 | 2001-01-23 | Nutool, Inc. | Method and apparatus for electro-chemical mechanical deposition |
US6541381B2 (en) * | 1998-11-06 | 2003-04-01 | Beaver Creek Concepts Inc | Finishing method for semiconductor wafers using a lubricating boundary layer |
JP3477384B2 (ja) * | 1998-11-27 | 2003-12-10 | シャープ株式会社 | 光磁気記録媒体 |
US6726823B1 (en) * | 1998-11-28 | 2004-04-27 | Acm Research, Inc. | Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces |
US6328872B1 (en) * | 1999-04-03 | 2001-12-11 | Nutool, Inc. | Method and apparatus for plating and polishing a semiconductor substrate |
US6077337A (en) * | 1998-12-01 | 2000-06-20 | Intel Corporation | Chemical-mechanical polishing slurry |
US6251235B1 (en) * | 1999-03-30 | 2001-06-26 | Nutool, Inc. | Apparatus for forming an electrical contact with a semiconductor substrate |
US6413388B1 (en) * | 2000-02-23 | 2002-07-02 | Nutool Inc. | Pad designs and structures for a versatile materials processing apparatus |
US6409904B1 (en) * | 1998-12-01 | 2002-06-25 | Nutool, Inc. | Method and apparatus for depositing and controlling the texture of a thin film |
JP2000183146A (ja) * | 1998-12-18 | 2000-06-30 | Ibiden Co Ltd | 静電チャック |
US6244935B1 (en) * | 1999-02-04 | 2001-06-12 | Applied Materials, Inc. | Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet |
US6066030A (en) * | 1999-03-04 | 2000-05-23 | International Business Machines Corporation | Electroetch and chemical mechanical polishing equipment |
US6238592B1 (en) * | 1999-03-10 | 2001-05-29 | 3M Innovative Properties Company | Working liquids and methods for modifying structured wafers suited for semiconductor fabrication |
GB2347784B (en) * | 1999-03-11 | 2004-02-11 | Applied Materials Inc | Scanning wheel for ion implantation process chamber |
US6431968B1 (en) * | 1999-04-22 | 2002-08-13 | Applied Materials, Inc. | Carrier head with a compressible film |
US6238271B1 (en) * | 1999-04-30 | 2001-05-29 | Speed Fam-Ipec Corp. | Methods and apparatus for improved polishing of workpieces |
US20020077037A1 (en) * | 1999-05-03 | 2002-06-20 | Tietz James V. | Fixed abrasive articles |
US6261168B1 (en) * | 1999-05-21 | 2001-07-17 | Lam Research Corporation | Chemical mechanical planarization or polishing pad with sections having varied groove patterns |
JP2000353737A (ja) * | 1999-06-14 | 2000-12-19 | Toray Ind Inc | 基板整列装置 |
US6381169B1 (en) * | 1999-07-01 | 2002-04-30 | The Regents Of The University Of California | High density non-volatile memory device |
US6234870B1 (en) * | 1999-08-24 | 2001-05-22 | International Business Machines Corporation | Serial intelligent electro-chemical-mechanical wafer processor |
US6406363B1 (en) * | 1999-08-31 | 2002-06-18 | Lam Research Corporation | Unsupported chemical mechanical polishing belt |
US6520843B1 (en) * | 1999-10-27 | 2003-02-18 | Strasbaugh | High planarity chemical mechanical planarization |
US6551179B1 (en) * | 1999-11-05 | 2003-04-22 | Strasbaugh | Hard polishing pad for chemical mechanical planarization |
US6569004B1 (en) * | 1999-12-30 | 2003-05-27 | Lam Research | Polishing pad and method of manufacture |
US6368184B1 (en) * | 2000-01-06 | 2002-04-09 | Advanced Micro Devices, Inc. | Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes |
US6630059B1 (en) * | 2000-01-14 | 2003-10-07 | Nutool, Inc. | Workpeice proximity plating apparatus |
US6537144B1 (en) * | 2000-02-17 | 2003-03-25 | Applied Materials, Inc. | Method and apparatus for enhanced CMP using metals having reductive properties |
US7066800B2 (en) * | 2000-02-17 | 2006-06-27 | Applied Materials Inc. | Conductive polishing article for electrochemical mechanical polishing |
JP2001269862A (ja) * | 2000-03-27 | 2001-10-02 | Toshiba Corp | 研磨パッド、研磨装置及び研磨方法 |
US6402591B1 (en) * | 2000-03-31 | 2002-06-11 | Lam Research Corporation | Planarization system for chemical-mechanical polishing |
US6428394B1 (en) * | 2000-03-31 | 2002-08-06 | Lam Research Corporation | Method and apparatus for chemical mechanical planarization and polishing of semiconductor wafers using a continuous polishing member feed |
US6261959B1 (en) * | 2000-03-31 | 2001-07-17 | Lam Research Corporation | Method and apparatus for chemically-mechanically polishing semiconductor wafers |
US7160176B2 (en) * | 2000-08-30 | 2007-01-09 | Micron Technology, Inc. | Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate |
US7112121B2 (en) * | 2000-08-30 | 2006-09-26 | Micron Technology, Inc. | Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate |
JP2002093761A (ja) * | 2000-09-19 | 2002-03-29 | Sony Corp | 研磨方法、研磨装置、メッキ方法およびメッキ装置 |
US6561889B1 (en) * | 2000-12-27 | 2003-05-13 | Lam Research Corporation | Methods for making reinforced wafer polishing pads and apparatuses implementing the same |
US6572463B1 (en) * | 2000-12-27 | 2003-06-03 | Lam Research Corp. | Methods for making reinforced wafer polishing pads utilizing direct casting and apparatuses implementing the same |
US6736952B2 (en) * | 2001-02-12 | 2004-05-18 | Speedfam-Ipec Corporation | Method and apparatus for electrochemical planarization of a workpiece |
WO2002070200A1 (en) * | 2001-03-01 | 2002-09-12 | Cabot Microelectronics Corporation | Method for manufacturing a polishing pad having a compressed translucent region |
US6899804B2 (en) * | 2001-12-21 | 2005-05-31 | Applied Materials, Inc. | Electrolyte composition and treatment for electrolytic chemical mechanical polishing |
US6811680B2 (en) * | 2001-03-14 | 2004-11-02 | Applied Materials Inc. | Planarization of substrates using electrochemical mechanical polishing |
US6479962B2 (en) * | 2001-03-16 | 2002-11-12 | Hewlett-Packard Company | In-device charging system and method for multi-chemistry battery systems |
US6517426B2 (en) * | 2001-04-05 | 2003-02-11 | Lam Research Corporation | Composite polishing pad for chemical-mechanical polishing |
KR20030015567A (ko) * | 2001-08-16 | 2003-02-25 | 에스케이에버텍 주식회사 | 웨이브 형태의 그루브가 형성된 화학적 기계적 연마패드 |
US6776693B2 (en) * | 2001-12-19 | 2004-08-17 | Applied Materials Inc. | Method and apparatus for face-up substrate polishing |
JP2003239952A (ja) * | 2002-02-12 | 2003-08-27 | Takai Corporation:Kk | 確実に回転するボールを持ったツバ付きボールプランジャー |
US6917755B2 (en) * | 2003-02-27 | 2005-07-12 | Applied Materials, Inc. | Substrate support |
-
2001
- 2001-10-17 US US09/982,406 patent/US20030072639A1/en not_active Abandoned
-
2002
- 2002-09-24 WO PCT/US2002/030268 patent/WO2003034473A2/en active Application Filing
- 2002-09-24 CN CNA028206304A patent/CN1572014A/zh active Pending
- 2002-09-24 JP JP2003537106A patent/JP2005507162A/ja active Pending
- 2002-09-24 KR KR10-2003-7015893A patent/KR20040034611A/ko not_active Application Discontinuation
- 2002-09-24 EP EP02801637A patent/EP1436829A2/en not_active Withdrawn
- 2002-09-30 TW TW091122571A patent/TW561575B/zh not_active IP Right Cessation
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102084029B (zh) * | 2008-07-04 | 2013-07-24 | Abb技术有限公司 | 用于钝化硅晶片的沉积方法 |
US8541317B2 (en) | 2008-07-04 | 2013-09-24 | Abb Technology Ag | Deposition method for passivation of silicon wafers |
CN103155119A (zh) * | 2010-08-31 | 2013-06-12 | 泰拉半导体株式会社 | 批处理式基板处理装置 |
CN103855068A (zh) * | 2012-11-30 | 2014-06-11 | 世界中心科技股份有限公司 | 干法刻蚀设备中的静电吸附板表面凸点的制造方法 |
CN108292109A (zh) * | 2015-12-15 | 2018-07-17 | Asml荷兰有限公司 | 衬底保持器、光刻设备及制造器件的方法 |
US10895808B2 (en) | 2015-12-15 | 2021-01-19 | Asml Netherlands B.V. | Substrate holder, a lithographic apparatus and method of manufacturing devices |
US11579533B2 (en) | 2015-12-15 | 2023-02-14 | Asml Netherlands B.V. | Substrate holder, a lithographic apparatus and method of manufacturing devices |
CN110273142A (zh) * | 2019-07-31 | 2019-09-24 | 常州时创能源科技有限公司 | 硅片的对位装置和对位方法 |
Also Published As
Publication number | Publication date |
---|---|
JP2005507162A (ja) | 2005-03-10 |
TW561575B (en) | 2003-11-11 |
WO2003034473A3 (en) | 2003-07-31 |
US20030072639A1 (en) | 2003-04-17 |
EP1436829A2 (en) | 2004-07-14 |
WO2003034473A2 (en) | 2003-04-24 |
KR20040034611A (ko) | 2004-04-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1572014A (zh) | 衬底支撑件 | |
CN1542939A (zh) | 基片支撑件 | |
CN1276466C (zh) | 用于支撑衬底的设备 | |
CN1293600C (zh) | 用于均匀加热衬底的腔室 | |
EP1116261B1 (en) | Method and apparatus for cooling substrates | |
EP0644953B1 (en) | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten cvd | |
EP0644954B1 (en) | Semiconductor wafer processing cvd reactor | |
US20090017637A1 (en) | Method and apparatus for batch processing in a vertical reactor | |
WO1993026038A1 (en) | Semiconductor wafer processing method and apparatus with heat and gas flow control | |
US20210013067A1 (en) | Robot for simultaneous substrate transfer | |
KR101035828B1 (ko) | 균일한 기판 가열을 위한 챔버 | |
KR200486487Y1 (ko) | 기판 프로세싱 시스템을 위한 인덱싱되는 가스 제트 주입기 | |
US8383429B2 (en) | Method and apparatus for thermal treatment of semiconductor workpieces | |
JP2010242152A (ja) | 真空装置及びサセプタのセット | |
JPH08335574A (ja) | 半導体装置の製造方法およびその製造装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
AD01 | Patent right deemed abandoned | ||
C20 | Patent right or utility model deemed to be abandoned or is abandoned |