JP2005507162A - 基板支持体 - Google Patents
基板支持体 Download PDFInfo
- Publication number
- JP2005507162A JP2005507162A JP2003537106A JP2003537106A JP2005507162A JP 2005507162 A JP2005507162 A JP 2005507162A JP 2003537106 A JP2003537106 A JP 2003537106A JP 2003537106 A JP2003537106 A JP 2003537106A JP 2005507162 A JP2005507162 A JP 2005507162A
- Authority
- JP
- Japan
- Prior art keywords
- sphere
- support
- substrate
- disposed
- support member
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/6875—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/67303—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
- H01L21/67309—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements characterized by the substrate support
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
基板を支持するための装置を提供する。一実施形態において、本体と、基板支持体とその上に支持された基板の間の摩擦および/または化学反応を最小化するようにされたソケットおよび球を有する上側部分と、を有する基板支持体を提供する。この基板支持体は、ロードロックや熱処理を行うチャンバー等の様々なチャンバーにおいて利用できる。
【選択図】図1
【選択図】図1
Description
【発明の内容】
【0001】
本出願は、2001年9月24日付けで出願された米国特許出願第09/963,020号(代理人名簿番号6181/AKT/BG)に関連し、その全体を参考のため本願明細書に援用する。
【0002】
技術分野
本発明の実施形態は、基板支持体に関する。
【0003】
背景技術
薄膜トランジスタは、従来、モニタ、平面パネル・ディスプレイ、太陽電池、携帯情報端末(PDA)、携帯電話等における使用のために大型ガラス基板または板の上に作られていた。これらのトランジスタは、真空チャンバー中で、アモルファス・シリコン、ドープのされた酸化ケイ素、ドープのされていない酸化ケイ素、窒化ケイ素等を含む様々な薄膜を順次堆積させることにより作られる。トランジスタ用の薄膜のための1つの堆積方法には、化学気相堆積法(CVD)がある。
【0004】
CVDは、基板が300〜400℃程度の温度に耐えることが要求される、かなり高温のプロセスであり、500℃を超えるより高温のプロセスも考えられている。CVD薄膜プロセスは、基板上に集積回路を製造する際の幅広い利用が認められてきた。しかし、ガラスは非常にもろく、さらに、高温に加熱されると撓み、歪みまたはひび割れしやすい誘電材料であるため、加熱および冷却の間に、熱応力や、結果として生じる損傷を回避するために注意を払わなければならない。
【0005】
現在、プロセスの前に基板を予熱し、プロセス後に熱処理操作を行うための装置が存在する。従来の加熱チャンバーは、一または複数の基板を加熱するための1つ以上の加熱棚を有している。ガラスは、通常、熱の均一性およびスループットを向上させるために、スペーサ上で棚の上方に支持される。コストを最小にするため、従来のスペーサは、通常、ステンレス鋼、アルミニウム、窒化アルミニウム等の容易に機械加工できる金属から形成されている。しかし、従来のスペーサは、ガラスの表面を傷つけたり、別様に損傷したりするおそれがあり、ことによるとガラス表面に欠陥を生じさせることになる。例えば、低温ポリシリコン膜を形成するためのアニールでは、基板を約550℃に加熱する必要があり、これにより900mm基板で約4mmの熱膨張が生じることがある。この熱膨張によって、ガラスは、加熱および冷却の間に、ガラスがその上で支持されているところのスペーサを横切って滑ることになる。結果として生じるガラスとスペーサの間の摩擦によって、基板にかき傷、ひび割れ、およびその他の変形が生じることが明らかになっている。例えば、基板は多くの場合、複数のパネルに分割されるが、所望の位置に沿ってではなく、かき傷や他の欠陥に沿って割れる可能性があり、1枚以上の基板を不良品にしてしまうことになる。
【0006】
ある場合には、スペーサのガラスと接触する部分がガラスと反応し、一時的に結合することもありうると信じられている。これらの結合が後に断たれたときに、先の反応の残留物がスペーサ上に残り、プロセス中に後続の基板に損傷を与える可能性が増すことになる。加えて、この残留物が熱処理チャンバー内で汚染源となる可能性がある。さらには、基板とスペーサの間の結合からの残留物が、スペーサと他の基板との間の引き続いての化学反応に対する触媒として作用する可能性があり、さらに、スペーサの支持面を劣化させたり、スペーサの寿命を縮めたりする可能性がある。
【0007】
従って、プロセス中の基板の損傷を減らすまたはなくす支持体に対するニーズがある。
【0008】
発明の開示
本発明の一態様において、基板を支持するための装置が提供される。一実施形態において、基板を支持するための装置には第1部分および第2部分が含まれる。第2部分は球を保持するソケットを備えている。球は、基板と球の間の摩擦および/または化学反応を最小化しながら、基板をその上に支持するようにされている。
【0009】
他の実施形態においては、少なくとも1つの支持部材が結合されたチャンバー本体を含む、基板を支持するための装置が提供される。1つ以上の球が、支持部材上に配置される。球は、支持部材と間隙を開けて配置された関係で回転可能にガラス基板を支持するようにされている。他の実施形態において、本装置は、基板の熱変化中の基板の損傷または汚染が望ましくない加熱チャンバーやロードロック・チャンバーにおいて有益である。
【0010】
上に列挙した本発明の特徴、利点、および目的が達成される方法が詳細に理解できるように、上で簡単に概要を述べた本発明のより詳細な説明は、添付の図面に図示する本発明の実施形態を参照して得られうる。
【0011】
しかし、添付の図面は本発明の典型的な実施形態のみを図示するものであり、したがって、これらの図面が本発明の範囲を限定するものと考えられるべきではなく、これは本発明が他の等しく効果的な実施形態を許容しうるものであるからである。
【0012】
好ましい実施形態の詳細な説明
本発明は一般に、基板の損傷を減らすのに好適である基板を支持するためのスペーサに関する。このスペーサは、基板が温度変化を受けるチャンバー内で特に有用であるが、基板の引っ掻きを回避することが望まれる他のチャンバー内での使用に適している。
【0013】
図1は、複数のスペーサ30、50上で支持された、代表的な加熱チャンバー10内に配置されたガラス基板32を図示している。加熱チャンバー10には、軸92によってチャンバー10内で移動可能に支持されたカセット90が含まれる。カセット90は、側壁12、14、底壁16、および蓋18を備えている。加熱チャンバー10には側壁15が含まれる。プロセス装置(不図示)に隣接する側壁15に配された図2に点線で示すポート96には、加熱チャンバー10内においてガラス基板32をプロセス装置からそれを通してカセット90内へ搬入およびカセット90から搬出できるスリットバルブ94が装備されている。
【0014】
図1に戻ると、側壁12および14には、カセット90の温度を制御するための適切な加熱コイル20、22が装備されている。加熱コイル20、22は、抵抗加熱器および/または熱伝達ガスまたは液を循環させるための導管でもよい。底壁16には、温度制御された流体を循環させるための流入管24と流出管26および/または電源(不図示)に接続された加熱コイル20、22用の経路電線のための導管27が装備されている。
【0015】
側壁12、14の内側には、複数の支持部材28が装備されている。図1に描いた実施形態では、支持部材28は、壁12、14の間に配置された熱伝導性の棚である。支持部材28は壁12、14と良好に熱的接触をし、コイル20、22による支持部材28およびその上に配置されたガラス基板32の温度の急速かつ均一な制御を可能とする。支持部材28に使用できる材料の例には、アルミニウム、銅、ステンレス鋼、クラッド銅等が含まれるが、これらには限定されない。代わりに、加熱コイル20、22を支持部材28内に埋め込んでもよい。
【0016】
図2に図示するように、1つ以上の外側スペーサ30が、ガラス基板32の周囲を支持するように、支持部材28上に適切に配列されている。1つ以上の内側スペーサ50が、ガラス基板32の内側部分を支持するように、支持部材28上に配置されている。図2に描いた実施形態では、3つのスペーサ30がガラス基板32の周囲を支持するように支持部材28の対向する辺に配置されていると同時に、2つのスペーサ50がガラス基板32の中央部を支持するようにスペーサ30の内側に配置されている。他の構成を代わりに利用してもよい。
【0017】
図1に戻ると、スペーサ30、50は、支持部材28とガラス基板32の間に間隙44ができるように、カセット90内でガラス基板32を支持するのに役立つ。間隙44によって、支持部材28がガラス基板32に直接接触すること(これは、ガラス基板32に応力を加えて亀裂を入れたり、汚染物質が支持部材28からガラス基板32に移されることにつながったりする)が防止される。カセット90内のガラス基板32は、ガラス基板32と支持部材28の間の直接接触によるよりも、放射およびガスの伝導によって間接的に加熱される。加えて、ガラス基板32および支持部材28の間にはさみこむことにより、上下両方からガラス基板32を加熱することが可能とされ、そうしてガラス基板32のより急速かつ均一な加熱が可能とされる。
【0018】
図3は、外側スペーサ30の一実施形態の側面図である。外側スペーサ30は、通常、ステンレス鋼でできていて円筒形状である。外側スペーサ30は第1端部90および第2端部92を有している。第1端部90は支持部材28上に配される。第2端部92は支持部材28と間隙を開けて配置された関係でガラス基板32を支持する。第2端部92の縁は、通常、アールまたは面取り94を有している。第2端部92は代わりに、基板との接触領域を最小にするように、全体アールを構成してもよい。
【0019】
図4Aは内側スペーサ50の一実施形態の断面図である。任意で外側スペーサ30もまた同様に構成してもよい。内側スペーサ50を形成するのに使用する材料は、製造容易のために、実施形態によっては低コストのために選択してもよい。内側スペーサ50は、通常、ステンレス鋼、低炭素鋼、ICONEL(登録商標)、ニッケル合金または他の適切な材料から製造される。
【0020】
内側スペーサ50には、おおむね、第1部分56および第2部分57が含まれる。第1部分56は、通常、円筒状断面を有しているが、他の形状を利用してもよい。第2部分57には、ガラス基板32に接触して支持する球62を保持するソケット64が含まれる。
【0021】
一実施形態においては、第1部分56は、支持部材28から突出する取付ピン58を受けるようにされている中空中央部分72を有している。ピン58により、カセット90内の支持部材28上に内側スペーサ50が配置される。支持部材28上に直接内側スペーサ50を取り付ける代わりに取付ピン58を用いることの1つの利点は、内側スペーサ50と支持部材28とに対する材料選択の基準を違えられることにある。ピン58を使用することで、内側スペーサ50は、隣接する支持部材28の膨張および収縮とは独立して、膨張および収縮できる。あるいは、内側スペーサ50を、他の方法または装置を用いて支持部材28に取り付けてもよい。例えば、接着、圧入、溶接、リベット留め、ねじ止め等を用いて内側スペーサ50を支持部材28に取り付けてもよい。支持部材28へのガラス・スペーサ50の他の取付または固定方法の実施形態もまた考えられることが理解されるべきである。
【0022】
内側スペーサ50の第2部分57は、おおむね、球62とソケット64とを備えている。一実施形態においては、ソケット64には、半径(R)を有する湾曲面68を備える球支持体66が含まれる。球支持体66の湾曲面68は、半径(R)よりも小さい半径(r)を有する球62との単一の接触点をもたらす。
【0023】
図4Aに描いた実施形態においては、球支持体66の外側部分88は、ねじ山が切られていて、球62を保持するための円筒状側壁82の一部を形成するソケット64の内側部分84とかみ合っている。側壁82は、おおむね、ソケット64内で球62を保持する、先細にされた、かしめられた、または別の方法でにより形成された端部80を有している。通常は、球62がソケット内で回転および/または横方向に移動できるように、球62と端部80の間に小さな隙間を設ける。あるいは、端部80および側壁82を、基板32がその上を動くに従って球62が球支持面66にわたって転がることができるように構成してもよい(図4B参照)。中央支持体30に対して球62が横方向に移動することで、引っかくことなしに、基板32が球62を横切って転がることができる。加えて、球支持面66の円錐面によって、基板32が取り除かれたときにソケット64内で球62が中央に位置決めされ、中央支持体30は次の基板に対する準備のできた配置へと戻される。すなわち、円錐状球支持面66は、基板が取り除かれるとすぐに球62を再び中央に位置決めする。他の実施形態において、球支持体66は、球62に接触して保持するための他の面形状を有していてもよい。
【0024】
図5は、図4Aの切断線5−5に沿って取った球62の一実施形態の断面図である。球62は、通常、金属材料または非金属材料のどちらからでも構成される。球62は、加えて、球62とガラス基板32の間の摩擦低減を可能とすること、および/または化学反応を抑制することもできる。通常、球62は、金属もしくは合金、石英、サファイア、窒化ケイ素または他の適切な非金属材料から構成される。一実施形態において、球62は、4マイクロインチまたはそれよりも滑らかな表面仕上げがなされている。
【0025】
任意選択で、球62は、被覆層70で、コーティング、メッキまたは電解研磨されてもよい。例えば、被覆層70は、球62とガラス基板32の間の摩擦を低減させるバリア層を提供するのに十分な厚さを有していてもよい。ガラス基板32と球62の間の摩擦が低減されることで、ガラス基板32と球62の間の摩擦、振動、熱膨張または他の接触に起因するガラス基板32への損傷が実質的に防止される。加えてまたは代わりに、被覆層70によって、球62とガラス基板32とを構成する材料間での化学反応減少を可能とさせてもよい。代わりの実施形態においては、スペーサ50の他の部分が、それらの間の摩擦および/または化学反応を減少させるために同様に被覆されていてもよい。
【0026】
球62とガラス基板32の間の摩擦を減少させるまたは無くすことが可能な被覆層70は、化学気相堆積法(CVD)ニトロ化処理、物理気相堆積法(PVD)スパッター法、吹付け、めっき、または他のプロセスで堆積できる。一実施形態において、被覆層70は少なくとも約3μmの厚さを有している。他の実施形態においては、被覆層70を約3μmないし約20μmの厚さに形成する。他の実施例においては、上述のような球62を反応チャンバー内に置き、球62の曝露面上にニトロ化被覆層を形成するように、アンモニア、および/または窒素、および/または水素、および/または他の還元性ガスを含む雰囲気に曝露してもよい。他の実施形態においては、被覆層70を、球62の外表面上にニトロ化された表面を形成するようにPVD等のスパッター法によって形成し、該被覆層70は例えば窒化チタンを含む。
【0027】
表面被覆層70によって、一般的に球62には滑らかな外表面が与えられる。表面被覆層70の上記代わりの実施形態においても、少なくとも球62の元々の仕上げと同じ程度の滑らかな表面が保たれると思われる。代わりに、被覆層70の仕上げを改善するために、被覆層70を例えば電解研磨または他の方法によって処理してもよい。上記のような被覆層70を有する内側スペーサ50は、内側スペーサ50上で支持されるガラス基板32との間の摩擦を軽減すること、さらに若しくは代わりに、実施形態によっては球62内の汚染物および/または内側スペーサ50上に配置されたガラス32との間の化学反応を抑えるとも思われる。任意選択で、被覆層70を外側スペーサ30に適用してもよい。
【0028】
本発明の態様に従って作製された内側スペーサ50は、250℃を超えて行われる熱処理操作に適していることが理解されるべきである。低温ポリシリコンの製造に用いられる熱処理プロセスのような、他の熱処理操作を本発明の内側スペーサ50を用いて行ってもよい。本発明に従って作製されたスペーサ50は、用途およびガラス材料特性次第で、約450℃を超え、600℃以下で行われる熱処理操作に適していると思われる。さらに、本発明に従って作製されたスペーサ50によって、ガラス基板32が内側スペーサ50上で移動するに従って摩擦が発生することが抑えられるとも思われる。その上、上記の表面被覆層70は付加的な保護層を提供することもでき、どちらも球62と支持すべきガラス基板32の間の摩擦損傷のおそれを減らすと同時に、球62内の汚染物または金属とガラス基板32の間の反応を防止するためのバリア層としても機能するものであるとも思われる。
【0029】
上述したように、基板損傷を減少させるための中央支持体として、内側スペーサ50の実施形態を示して説明してきた。上記実施形態では、中央支持体として内側スペーサ50が説明されているが、従来の外側スペーサ30をガラス基板32の周縁を支持するのに用いてもよい。いくつかまたは全ての外側スペーサ30を、任意選択で、内側スペーサ50と同様にまたは同一に構成してもよい。
【0030】
内側スペーサ50を特定の材料に関して説明してきたが、他の熱処理用途に、他の異なる材料から作製されたスペーサ50を利用し、被覆層70に上記のもの以外の代わりの材料を使用してもよいことが理解されるべきである。
【0031】
図6Aには他の実施形態の内側スペーサ150が描かれている。内側スペーサ150は、該内側スペーサ150が円錐面152上で球62を支持していること以外は内側スペーサ50と同様に構成されている。円錐面152により、おおむね、内側スペーサ150内で球62が中央に位置決めされると同時に、球62が実質的に自由に回転できるようにされている。
【0032】
図6Bには、他の実施形態の内側スペーサ600が描かれており、ここでスペーサ600の球支持面612は支持部材28内に組み入れられている。球62は各球支持面612に着座し、基板32と支持部材28とを間隙を開けて配置された関係に保っている。球支持面612は、球62をスペーサ600内で横方向に移動および/または回転させられる平らな、円錐の、球面の、または他の形状でもよい。
【0033】
図6Cには他の実施形態の内側スペーサ650が描かれており、ここでは、例えば熱伝導性を高めるために、基板32と支持部材の間の間隔が狭いほうが望ましい。球支持面602は、支持部材28において、球62と支持部材28の間の距離604が基板32と支持部材28の間の隙間をちょうど与えられる深さまでくぼませる。球支持面602は、球62を、基板32へのひっかきや他の損傷を防ぐためにスペーサ650内で横方向に移動および/または回転させられる平らな、円錐の、球面の、または他の形状でもよい。球62が支持部材28からはずれるのを防止するために、止め輪606を、支持部材28の表面へと球支持面602をつなぐ側壁608に、任意選択で、配置してもよい。該支持部材28には、加えて複数のリフトピン610(その1つを示している)が含まれる。リフトピン610は、基板搬送機構(不図示)の基板32と支持部材28の間での基板搬送を容易にするアクセスを可能にする従来の装置で作動させればよい。
【0034】
図7には、他の実施形態の内側スペーサ250が描かれている。内側スペーサ250は、該内側スペーサ250が内側に配置された複数の支持球252上で球62を支持する以外は、内側スペーサ50および150と同様に構成される。支持球252は、おおむね、球支持面66のそれぞれの窪み254内に配置される。代わりに、窪み254は、複数の支持球252を保持する単一の輪または溝を備えてもよい。支持球252により、おおむね、内側スペーサ250内で球62が中央に位置決めされると同時に、基板がその上を移動するに従って球62が実質的に自由に回転できるようにされている。
【0035】
本発明をガラス基板32と共に使用するのについて説明してきたが、本発明の内側スペーサの他の実施形態は、内側スペーサと異なる基板材料との間の摩擦損傷および/または化学反応を低減するのに用いてもよい。本発明は上記の加熱装置10で使用するものとして説明してきたが、他の熱処理装置およびチャンバーを用いてもよい。本発明の方法および装置は、本発明の実施形態を用いたタイプのチャンバーとは独立してかつ無関係に実施してもよい。
【0036】
図8には、他の実施形態の内側スペーサ350が描かれている。内側スペーサ350は、該内側スペーサ350が支持球352の配列上で球62を支持する以外は内側スペーサ50、150および250と同様に構成される。球62は、おおむね、半径R’を有し、支持球352は直径dを有する。支持球352は、おおむね、球支持面366上に配置される。球支持面366は、おおむね、和(R’+d)よりも大きな半径R”を有している。球支持面366の半径が大きくなると、おおむね、基板32がその上を移動するに従って、球62が自由に回転することおよび/または球支持面366にわたって横方向に移動することが可能とされる。
【0037】
図9には、16個の支持球352を含む支持球352の配列の一実施形態を図示する、図8の切断線9−9に沿って取った内側スペーサ350の断面図が描かれている。違った数の支持球352を含む配列を有する実施形態も想像される。
【0038】
図10Aには、ロードロック・チャンバー1000とその中に配置された少なくとも1つの内側スペーサ50との一実施形態の断面図が描かれている。ロードロック・チャンバー1000には、おおむね、2つのガラス搬送ポート1004(図10Aには1つだけを示す)を有するチャンバー本体1002が含まれる。各ガラス搬送ポート1004は、スリットバルブ1008(透視で示す)によって選択的に密閉される。ロードロック・チャンバー1000は、例えば各搬送ポート1004にそれぞれ結合された各チャンバー(不図示)に封じ込められた、第1雰囲気と真空雰囲気の間に配置され、真空を失うことなしに隣接する搬送ポート1004を通して真空雰囲気内におよびそこから外へガラス基板32を搬送できるようにするのに利用される。
【0039】
チャンバー本体1002には、加えて、チャンバー本体1002内の圧力を、そこを通して調整できるポンピング・ポート1010が含まれる。任意選択で、チャンバー本体1002には、チャンバー本体1002内の圧力を真空状態から上げるためのベント1012が含まれてもよい。通常、ベント1012を通してチャンバー1000に流入する空気すなわち流体は、該チャンバー1000に入り込む微粒子を最低限にするためにフィルタ1014を通過させる。このようなフィルタは、一般に、キャムフィル(USA)株式会社(Camfil-USA, Inc.)(ニュージャージー州リバーデール)から入手可能である。
【0040】
カセット1006はチャンバー本体1002内に移動可能に配置され、昇降軸1020に結合された下側プレート1016および上側プレート1018を備えている。カセット1006は、下側プレート1006から延びる1つ以上のスペーサ30および少なくとも1つのスペーサ50上で第1基板32を、また上側プレート1018から延びる1つ以上のスペーサ30および少なくとも1つのスペーサ50上で第2基板(不図示)を、支持するように構成されている。カセット1006は、カセット1006上に支持された基板のいずれか1つをポート1004と位置合わせするように上昇または下降させる。
【0041】
またチャンバー本体1002には冷却板1022が含まれてもよい。冷却板1022は、スペーサ30、50を下側プレート1016からそこを通して延在させる複数の穴を有している。カセット1006を下げるにつれて、スペーサ30、50上に据えられた基板32は冷却板1022の近くに移動させられる。冷却板1022を通して循環する熱伝達流体は、基板32から冷却板1022に伝達された熱を取り除き、それにより基板32の温度を下げる。したがって、スペーサ50は、基板32が該基板を傷つけたりまたは別様に損傷したりすることなしにロードロック1000内で膨張または収縮することを可能にする。本発明の恩恵を受けるようにされうる1つのロードロック・チャンバーは、1999年12月15日付けで出願された米国特許第09/464,362号(代理人名簿番号3790)に記載されており、その全体を参考のため本願明細書に援用する。
【0042】
図10Bには、ロードロック・チャンバー1100およびその中に配置された少なくとも1つの内側スペーサ50の他の実施形態の断面図が描かれている。ロードロック・チャンバー1100には、おおむね、2つのガラス搬送ポート1104(図10Bには1つだけ示す)を有するチャンバー本体1102が含まれる。それぞれのガラス搬送ポート1104は、スリットバルブ1108(透視で示す)によって選択的に密閉される。ロードロック・チャンバー1100は、例えば各搬送ポート1104にそれぞれ結合された各チャンバー(不図示)に封じ込められた、第1雰囲気と真空雰囲気の間に配置され、真空を失うことなしに隣接する搬送ポート1104を通して真空雰囲気内におよびそこから外へガラス基板32(不図示)を搬送できるようにするのに利用される。
【0043】
複数の基板32が、チャンバー本体1102内で支持部材1160(明瞭のために図10Bには1枚だけ基板32を示す)上にそれぞれ支持される。支持部材1160は、チャンバー本体1102に結合しても、可動カセット1162内に配置してもよい。図10Bに描いた実施形態においては、可動カセット1162には、12個の垂直に積み重ねられた支持部材1160に結合された、少なくとも1つのスペーサ30および少なくとも1つのスペーサ50が含まれている。したがって、基板32が膨張または収縮するにしたがって、基板32は該基板を傷つけたりまたは別様に損傷したりすることなしにスペーサ50上で移動できる。本発明の恩恵を受けるようにされうる1つのロードロック・チャンバーは、AKT(アプライド・マテリアルの一事業部(カリフォルニア州サンタクララ))から入手できる。
【0044】
前記のものは本発明のいくつかの実施形態に向けられているが、本発明の他のさらなる実施形態も本発明の基本的な範囲から逸脱しなければ考え出しうるものであり、本発明の範囲は特許請求の範囲によって定められる。
【図面の簡単な説明】
【0045】
【図1】複数の支持部材およびスペーサを有する加熱チャンバーの一実施形態の断面図である。
【図2】複数のスペーサがその上に配置された棚/支持部材の一実施形態の平面図である。
【図3】従来のスペーサの一実施形態の側面図である。
【図4A】本発明のスペーサの一実施形態の断面図である。
【図4B】本発明のスペーサの他の実施形態の断面図である。
【図5】図4Aの切断線5−5に沿って取った、ボールの一実施形態の断面図である。
【図6A】本発明のスペーサの他の実施形態の断面図である。
【図6B】本発明のスペーサの他の実施形態の断面図である。
【図6C】本発明のスペーサの他の実施形態の断面図である。
【図7】本発明のスペーサの他の実施形態の断面図である。
【図8】本発明のスペーサの他の実施形態の断面図である。
【図9】図8の切断線9−9に沿って取った、図8のスペーサの断面図である。
【図10A】複数のスペーサがその上に配置された支持部材のロードロック・チャンバーの一実施形態の断面図である。
【図10B】複数のスペーサがその上に配置された支持部材のロードロック・チャンバーの他の一実施形態の断面図である。
【符号の説明】
【0046】
10…加熱チャンバー、12、14、15、82、608…側壁、16…底壁、18…蓋、20、22…加熱コイル、24…流入管、26…流出管、27…導管、28、1160…支持部材、30、50、150、250、350、600、650…スペーサ、32…ガラス基板、44…間隙、56…第1部分、57…第2部分、58…取付けピン、62…球、64…ソケット、66…球支持体、68…湾曲面、70…被覆層、72…中央部分、80…端部、84…内側部分、88…外側部分、90、1006…カセット、92、1020…昇降軸、94、1008、1108…スリットバルブ、96…ポート、152…円錐面、252、352…支持球、254…窪み、366、602、612…球支持面、604…距離、606…止め輪、610…リフトピン、1000、1100…ロードロック・チャンバー、1002、1102…チャンバー本体、1004、1104…ガラス搬送ポート、1010…ポンピング・ポート、1012…ベント、1014…フィルタ、1016…下側プレート、1018…上側プレート、1022…冷却板、1162…可動カセット
【0001】
本出願は、2001年9月24日付けで出願された米国特許出願第09/963,020号(代理人名簿番号6181/AKT/BG)に関連し、その全体を参考のため本願明細書に援用する。
【0002】
技術分野
本発明の実施形態は、基板支持体に関する。
【0003】
背景技術
薄膜トランジスタは、従来、モニタ、平面パネル・ディスプレイ、太陽電池、携帯情報端末(PDA)、携帯電話等における使用のために大型ガラス基板または板の上に作られていた。これらのトランジスタは、真空チャンバー中で、アモルファス・シリコン、ドープのされた酸化ケイ素、ドープのされていない酸化ケイ素、窒化ケイ素等を含む様々な薄膜を順次堆積させることにより作られる。トランジスタ用の薄膜のための1つの堆積方法には、化学気相堆積法(CVD)がある。
【0004】
CVDは、基板が300〜400℃程度の温度に耐えることが要求される、かなり高温のプロセスであり、500℃を超えるより高温のプロセスも考えられている。CVD薄膜プロセスは、基板上に集積回路を製造する際の幅広い利用が認められてきた。しかし、ガラスは非常にもろく、さらに、高温に加熱されると撓み、歪みまたはひび割れしやすい誘電材料であるため、加熱および冷却の間に、熱応力や、結果として生じる損傷を回避するために注意を払わなければならない。
【0005】
現在、プロセスの前に基板を予熱し、プロセス後に熱処理操作を行うための装置が存在する。従来の加熱チャンバーは、一または複数の基板を加熱するための1つ以上の加熱棚を有している。ガラスは、通常、熱の均一性およびスループットを向上させるために、スペーサ上で棚の上方に支持される。コストを最小にするため、従来のスペーサは、通常、ステンレス鋼、アルミニウム、窒化アルミニウム等の容易に機械加工できる金属から形成されている。しかし、従来のスペーサは、ガラスの表面を傷つけたり、別様に損傷したりするおそれがあり、ことによるとガラス表面に欠陥を生じさせることになる。例えば、低温ポリシリコン膜を形成するためのアニールでは、基板を約550℃に加熱する必要があり、これにより900mm基板で約4mmの熱膨張が生じることがある。この熱膨張によって、ガラスは、加熱および冷却の間に、ガラスがその上で支持されているところのスペーサを横切って滑ることになる。結果として生じるガラスとスペーサの間の摩擦によって、基板にかき傷、ひび割れ、およびその他の変形が生じることが明らかになっている。例えば、基板は多くの場合、複数のパネルに分割されるが、所望の位置に沿ってではなく、かき傷や他の欠陥に沿って割れる可能性があり、1枚以上の基板を不良品にしてしまうことになる。
【0006】
ある場合には、スペーサのガラスと接触する部分がガラスと反応し、一時的に結合することもありうると信じられている。これらの結合が後に断たれたときに、先の反応の残留物がスペーサ上に残り、プロセス中に後続の基板に損傷を与える可能性が増すことになる。加えて、この残留物が熱処理チャンバー内で汚染源となる可能性がある。さらには、基板とスペーサの間の結合からの残留物が、スペーサと他の基板との間の引き続いての化学反応に対する触媒として作用する可能性があり、さらに、スペーサの支持面を劣化させたり、スペーサの寿命を縮めたりする可能性がある。
【0007】
従って、プロセス中の基板の損傷を減らすまたはなくす支持体に対するニーズがある。
【0008】
発明の開示
本発明の一態様において、基板を支持するための装置が提供される。一実施形態において、基板を支持するための装置には第1部分および第2部分が含まれる。第2部分は球を保持するソケットを備えている。球は、基板と球の間の摩擦および/または化学反応を最小化しながら、基板をその上に支持するようにされている。
【0009】
他の実施形態においては、少なくとも1つの支持部材が結合されたチャンバー本体を含む、基板を支持するための装置が提供される。1つ以上の球が、支持部材上に配置される。球は、支持部材と間隙を開けて配置された関係で回転可能にガラス基板を支持するようにされている。他の実施形態において、本装置は、基板の熱変化中の基板の損傷または汚染が望ましくない加熱チャンバーやロードロック・チャンバーにおいて有益である。
【0010】
上に列挙した本発明の特徴、利点、および目的が達成される方法が詳細に理解できるように、上で簡単に概要を述べた本発明のより詳細な説明は、添付の図面に図示する本発明の実施形態を参照して得られうる。
【0011】
しかし、添付の図面は本発明の典型的な実施形態のみを図示するものであり、したがって、これらの図面が本発明の範囲を限定するものと考えられるべきではなく、これは本発明が他の等しく効果的な実施形態を許容しうるものであるからである。
【0012】
好ましい実施形態の詳細な説明
本発明は一般に、基板の損傷を減らすのに好適である基板を支持するためのスペーサに関する。このスペーサは、基板が温度変化を受けるチャンバー内で特に有用であるが、基板の引っ掻きを回避することが望まれる他のチャンバー内での使用に適している。
【0013】
図1は、複数のスペーサ30、50上で支持された、代表的な加熱チャンバー10内に配置されたガラス基板32を図示している。加熱チャンバー10には、軸92によってチャンバー10内で移動可能に支持されたカセット90が含まれる。カセット90は、側壁12、14、底壁16、および蓋18を備えている。加熱チャンバー10には側壁15が含まれる。プロセス装置(不図示)に隣接する側壁15に配された図2に点線で示すポート96には、加熱チャンバー10内においてガラス基板32をプロセス装置からそれを通してカセット90内へ搬入およびカセット90から搬出できるスリットバルブ94が装備されている。
【0014】
図1に戻ると、側壁12および14には、カセット90の温度を制御するための適切な加熱コイル20、22が装備されている。加熱コイル20、22は、抵抗加熱器および/または熱伝達ガスまたは液を循環させるための導管でもよい。底壁16には、温度制御された流体を循環させるための流入管24と流出管26および/または電源(不図示)に接続された加熱コイル20、22用の経路電線のための導管27が装備されている。
【0015】
側壁12、14の内側には、複数の支持部材28が装備されている。図1に描いた実施形態では、支持部材28は、壁12、14の間に配置された熱伝導性の棚である。支持部材28は壁12、14と良好に熱的接触をし、コイル20、22による支持部材28およびその上に配置されたガラス基板32の温度の急速かつ均一な制御を可能とする。支持部材28に使用できる材料の例には、アルミニウム、銅、ステンレス鋼、クラッド銅等が含まれるが、これらには限定されない。代わりに、加熱コイル20、22を支持部材28内に埋め込んでもよい。
【0016】
図2に図示するように、1つ以上の外側スペーサ30が、ガラス基板32の周囲を支持するように、支持部材28上に適切に配列されている。1つ以上の内側スペーサ50が、ガラス基板32の内側部分を支持するように、支持部材28上に配置されている。図2に描いた実施形態では、3つのスペーサ30がガラス基板32の周囲を支持するように支持部材28の対向する辺に配置されていると同時に、2つのスペーサ50がガラス基板32の中央部を支持するようにスペーサ30の内側に配置されている。他の構成を代わりに利用してもよい。
【0017】
図1に戻ると、スペーサ30、50は、支持部材28とガラス基板32の間に間隙44ができるように、カセット90内でガラス基板32を支持するのに役立つ。間隙44によって、支持部材28がガラス基板32に直接接触すること(これは、ガラス基板32に応力を加えて亀裂を入れたり、汚染物質が支持部材28からガラス基板32に移されることにつながったりする)が防止される。カセット90内のガラス基板32は、ガラス基板32と支持部材28の間の直接接触によるよりも、放射およびガスの伝導によって間接的に加熱される。加えて、ガラス基板32および支持部材28の間にはさみこむことにより、上下両方からガラス基板32を加熱することが可能とされ、そうしてガラス基板32のより急速かつ均一な加熱が可能とされる。
【0018】
図3は、外側スペーサ30の一実施形態の側面図である。外側スペーサ30は、通常、ステンレス鋼でできていて円筒形状である。外側スペーサ30は第1端部90および第2端部92を有している。第1端部90は支持部材28上に配される。第2端部92は支持部材28と間隙を開けて配置された関係でガラス基板32を支持する。第2端部92の縁は、通常、アールまたは面取り94を有している。第2端部92は代わりに、基板との接触領域を最小にするように、全体アールを構成してもよい。
【0019】
図4Aは内側スペーサ50の一実施形態の断面図である。任意で外側スペーサ30もまた同様に構成してもよい。内側スペーサ50を形成するのに使用する材料は、製造容易のために、実施形態によっては低コストのために選択してもよい。内側スペーサ50は、通常、ステンレス鋼、低炭素鋼、ICONEL(登録商標)、ニッケル合金または他の適切な材料から製造される。
【0020】
内側スペーサ50には、おおむね、第1部分56および第2部分57が含まれる。第1部分56は、通常、円筒状断面を有しているが、他の形状を利用してもよい。第2部分57には、ガラス基板32に接触して支持する球62を保持するソケット64が含まれる。
【0021】
一実施形態においては、第1部分56は、支持部材28から突出する取付ピン58を受けるようにされている中空中央部分72を有している。ピン58により、カセット90内の支持部材28上に内側スペーサ50が配置される。支持部材28上に直接内側スペーサ50を取り付ける代わりに取付ピン58を用いることの1つの利点は、内側スペーサ50と支持部材28とに対する材料選択の基準を違えられることにある。ピン58を使用することで、内側スペーサ50は、隣接する支持部材28の膨張および収縮とは独立して、膨張および収縮できる。あるいは、内側スペーサ50を、他の方法または装置を用いて支持部材28に取り付けてもよい。例えば、接着、圧入、溶接、リベット留め、ねじ止め等を用いて内側スペーサ50を支持部材28に取り付けてもよい。支持部材28へのガラス・スペーサ50の他の取付または固定方法の実施形態もまた考えられることが理解されるべきである。
【0022】
内側スペーサ50の第2部分57は、おおむね、球62とソケット64とを備えている。一実施形態においては、ソケット64には、半径(R)を有する湾曲面68を備える球支持体66が含まれる。球支持体66の湾曲面68は、半径(R)よりも小さい半径(r)を有する球62との単一の接触点をもたらす。
【0023】
図4Aに描いた実施形態においては、球支持体66の外側部分88は、ねじ山が切られていて、球62を保持するための円筒状側壁82の一部を形成するソケット64の内側部分84とかみ合っている。側壁82は、おおむね、ソケット64内で球62を保持する、先細にされた、かしめられた、または別の方法でにより形成された端部80を有している。通常は、球62がソケット内で回転および/または横方向に移動できるように、球62と端部80の間に小さな隙間を設ける。あるいは、端部80および側壁82を、基板32がその上を動くに従って球62が球支持面66にわたって転がることができるように構成してもよい(図4B参照)。中央支持体30に対して球62が横方向に移動することで、引っかくことなしに、基板32が球62を横切って転がることができる。加えて、球支持面66の円錐面によって、基板32が取り除かれたときにソケット64内で球62が中央に位置決めされ、中央支持体30は次の基板に対する準備のできた配置へと戻される。すなわち、円錐状球支持面66は、基板が取り除かれるとすぐに球62を再び中央に位置決めする。他の実施形態において、球支持体66は、球62に接触して保持するための他の面形状を有していてもよい。
【0024】
図5は、図4Aの切断線5−5に沿って取った球62の一実施形態の断面図である。球62は、通常、金属材料または非金属材料のどちらからでも構成される。球62は、加えて、球62とガラス基板32の間の摩擦低減を可能とすること、および/または化学反応を抑制することもできる。通常、球62は、金属もしくは合金、石英、サファイア、窒化ケイ素または他の適切な非金属材料から構成される。一実施形態において、球62は、4マイクロインチまたはそれよりも滑らかな表面仕上げがなされている。
【0025】
任意選択で、球62は、被覆層70で、コーティング、メッキまたは電解研磨されてもよい。例えば、被覆層70は、球62とガラス基板32の間の摩擦を低減させるバリア層を提供するのに十分な厚さを有していてもよい。ガラス基板32と球62の間の摩擦が低減されることで、ガラス基板32と球62の間の摩擦、振動、熱膨張または他の接触に起因するガラス基板32への損傷が実質的に防止される。加えてまたは代わりに、被覆層70によって、球62とガラス基板32とを構成する材料間での化学反応減少を可能とさせてもよい。代わりの実施形態においては、スペーサ50の他の部分が、それらの間の摩擦および/または化学反応を減少させるために同様に被覆されていてもよい。
【0026】
球62とガラス基板32の間の摩擦を減少させるまたは無くすことが可能な被覆層70は、化学気相堆積法(CVD)ニトロ化処理、物理気相堆積法(PVD)スパッター法、吹付け、めっき、または他のプロセスで堆積できる。一実施形態において、被覆層70は少なくとも約3μmの厚さを有している。他の実施形態においては、被覆層70を約3μmないし約20μmの厚さに形成する。他の実施例においては、上述のような球62を反応チャンバー内に置き、球62の曝露面上にニトロ化被覆層を形成するように、アンモニア、および/または窒素、および/または水素、および/または他の還元性ガスを含む雰囲気に曝露してもよい。他の実施形態においては、被覆層70を、球62の外表面上にニトロ化された表面を形成するようにPVD等のスパッター法によって形成し、該被覆層70は例えば窒化チタンを含む。
【0027】
表面被覆層70によって、一般的に球62には滑らかな外表面が与えられる。表面被覆層70の上記代わりの実施形態においても、少なくとも球62の元々の仕上げと同じ程度の滑らかな表面が保たれると思われる。代わりに、被覆層70の仕上げを改善するために、被覆層70を例えば電解研磨または他の方法によって処理してもよい。上記のような被覆層70を有する内側スペーサ50は、内側スペーサ50上で支持されるガラス基板32との間の摩擦を軽減すること、さらに若しくは代わりに、実施形態によっては球62内の汚染物および/または内側スペーサ50上に配置されたガラス32との間の化学反応を抑えるとも思われる。任意選択で、被覆層70を外側スペーサ30に適用してもよい。
【0028】
本発明の態様に従って作製された内側スペーサ50は、250℃を超えて行われる熱処理操作に適していることが理解されるべきである。低温ポリシリコンの製造に用いられる熱処理プロセスのような、他の熱処理操作を本発明の内側スペーサ50を用いて行ってもよい。本発明に従って作製されたスペーサ50は、用途およびガラス材料特性次第で、約450℃を超え、600℃以下で行われる熱処理操作に適していると思われる。さらに、本発明に従って作製されたスペーサ50によって、ガラス基板32が内側スペーサ50上で移動するに従って摩擦が発生することが抑えられるとも思われる。その上、上記の表面被覆層70は付加的な保護層を提供することもでき、どちらも球62と支持すべきガラス基板32の間の摩擦損傷のおそれを減らすと同時に、球62内の汚染物または金属とガラス基板32の間の反応を防止するためのバリア層としても機能するものであるとも思われる。
【0029】
上述したように、基板損傷を減少させるための中央支持体として、内側スペーサ50の実施形態を示して説明してきた。上記実施形態では、中央支持体として内側スペーサ50が説明されているが、従来の外側スペーサ30をガラス基板32の周縁を支持するのに用いてもよい。いくつかまたは全ての外側スペーサ30を、任意選択で、内側スペーサ50と同様にまたは同一に構成してもよい。
【0030】
内側スペーサ50を特定の材料に関して説明してきたが、他の熱処理用途に、他の異なる材料から作製されたスペーサ50を利用し、被覆層70に上記のもの以外の代わりの材料を使用してもよいことが理解されるべきである。
【0031】
図6Aには他の実施形態の内側スペーサ150が描かれている。内側スペーサ150は、該内側スペーサ150が円錐面152上で球62を支持していること以外は内側スペーサ50と同様に構成されている。円錐面152により、おおむね、内側スペーサ150内で球62が中央に位置決めされると同時に、球62が実質的に自由に回転できるようにされている。
【0032】
図6Bには、他の実施形態の内側スペーサ600が描かれており、ここでスペーサ600の球支持面612は支持部材28内に組み入れられている。球62は各球支持面612に着座し、基板32と支持部材28とを間隙を開けて配置された関係に保っている。球支持面612は、球62をスペーサ600内で横方向に移動および/または回転させられる平らな、円錐の、球面の、または他の形状でもよい。
【0033】
図6Cには他の実施形態の内側スペーサ650が描かれており、ここでは、例えば熱伝導性を高めるために、基板32と支持部材の間の間隔が狭いほうが望ましい。球支持面602は、支持部材28において、球62と支持部材28の間の距離604が基板32と支持部材28の間の隙間をちょうど与えられる深さまでくぼませる。球支持面602は、球62を、基板32へのひっかきや他の損傷を防ぐためにスペーサ650内で横方向に移動および/または回転させられる平らな、円錐の、球面の、または他の形状でもよい。球62が支持部材28からはずれるのを防止するために、止め輪606を、支持部材28の表面へと球支持面602をつなぐ側壁608に、任意選択で、配置してもよい。該支持部材28には、加えて複数のリフトピン610(その1つを示している)が含まれる。リフトピン610は、基板搬送機構(不図示)の基板32と支持部材28の間での基板搬送を容易にするアクセスを可能にする従来の装置で作動させればよい。
【0034】
図7には、他の実施形態の内側スペーサ250が描かれている。内側スペーサ250は、該内側スペーサ250が内側に配置された複数の支持球252上で球62を支持する以外は、内側スペーサ50および150と同様に構成される。支持球252は、おおむね、球支持面66のそれぞれの窪み254内に配置される。代わりに、窪み254は、複数の支持球252を保持する単一の輪または溝を備えてもよい。支持球252により、おおむね、内側スペーサ250内で球62が中央に位置決めされると同時に、基板がその上を移動するに従って球62が実質的に自由に回転できるようにされている。
【0035】
本発明をガラス基板32と共に使用するのについて説明してきたが、本発明の内側スペーサの他の実施形態は、内側スペーサと異なる基板材料との間の摩擦損傷および/または化学反応を低減するのに用いてもよい。本発明は上記の加熱装置10で使用するものとして説明してきたが、他の熱処理装置およびチャンバーを用いてもよい。本発明の方法および装置は、本発明の実施形態を用いたタイプのチャンバーとは独立してかつ無関係に実施してもよい。
【0036】
図8には、他の実施形態の内側スペーサ350が描かれている。内側スペーサ350は、該内側スペーサ350が支持球352の配列上で球62を支持する以外は内側スペーサ50、150および250と同様に構成される。球62は、おおむね、半径R’を有し、支持球352は直径dを有する。支持球352は、おおむね、球支持面366上に配置される。球支持面366は、おおむね、和(R’+d)よりも大きな半径R”を有している。球支持面366の半径が大きくなると、おおむね、基板32がその上を移動するに従って、球62が自由に回転することおよび/または球支持面366にわたって横方向に移動することが可能とされる。
【0037】
図9には、16個の支持球352を含む支持球352の配列の一実施形態を図示する、図8の切断線9−9に沿って取った内側スペーサ350の断面図が描かれている。違った数の支持球352を含む配列を有する実施形態も想像される。
【0038】
図10Aには、ロードロック・チャンバー1000とその中に配置された少なくとも1つの内側スペーサ50との一実施形態の断面図が描かれている。ロードロック・チャンバー1000には、おおむね、2つのガラス搬送ポート1004(図10Aには1つだけを示す)を有するチャンバー本体1002が含まれる。各ガラス搬送ポート1004は、スリットバルブ1008(透視で示す)によって選択的に密閉される。ロードロック・チャンバー1000は、例えば各搬送ポート1004にそれぞれ結合された各チャンバー(不図示)に封じ込められた、第1雰囲気と真空雰囲気の間に配置され、真空を失うことなしに隣接する搬送ポート1004を通して真空雰囲気内におよびそこから外へガラス基板32を搬送できるようにするのに利用される。
【0039】
チャンバー本体1002には、加えて、チャンバー本体1002内の圧力を、そこを通して調整できるポンピング・ポート1010が含まれる。任意選択で、チャンバー本体1002には、チャンバー本体1002内の圧力を真空状態から上げるためのベント1012が含まれてもよい。通常、ベント1012を通してチャンバー1000に流入する空気すなわち流体は、該チャンバー1000に入り込む微粒子を最低限にするためにフィルタ1014を通過させる。このようなフィルタは、一般に、キャムフィル(USA)株式会社(Camfil-USA, Inc.)(ニュージャージー州リバーデール)から入手可能である。
【0040】
カセット1006はチャンバー本体1002内に移動可能に配置され、昇降軸1020に結合された下側プレート1016および上側プレート1018を備えている。カセット1006は、下側プレート1006から延びる1つ以上のスペーサ30および少なくとも1つのスペーサ50上で第1基板32を、また上側プレート1018から延びる1つ以上のスペーサ30および少なくとも1つのスペーサ50上で第2基板(不図示)を、支持するように構成されている。カセット1006は、カセット1006上に支持された基板のいずれか1つをポート1004と位置合わせするように上昇または下降させる。
【0041】
またチャンバー本体1002には冷却板1022が含まれてもよい。冷却板1022は、スペーサ30、50を下側プレート1016からそこを通して延在させる複数の穴を有している。カセット1006を下げるにつれて、スペーサ30、50上に据えられた基板32は冷却板1022の近くに移動させられる。冷却板1022を通して循環する熱伝達流体は、基板32から冷却板1022に伝達された熱を取り除き、それにより基板32の温度を下げる。したがって、スペーサ50は、基板32が該基板を傷つけたりまたは別様に損傷したりすることなしにロードロック1000内で膨張または収縮することを可能にする。本発明の恩恵を受けるようにされうる1つのロードロック・チャンバーは、1999年12月15日付けで出願された米国特許第09/464,362号(代理人名簿番号3790)に記載されており、その全体を参考のため本願明細書に援用する。
【0042】
図10Bには、ロードロック・チャンバー1100およびその中に配置された少なくとも1つの内側スペーサ50の他の実施形態の断面図が描かれている。ロードロック・チャンバー1100には、おおむね、2つのガラス搬送ポート1104(図10Bには1つだけ示す)を有するチャンバー本体1102が含まれる。それぞれのガラス搬送ポート1104は、スリットバルブ1108(透視で示す)によって選択的に密閉される。ロードロック・チャンバー1100は、例えば各搬送ポート1104にそれぞれ結合された各チャンバー(不図示)に封じ込められた、第1雰囲気と真空雰囲気の間に配置され、真空を失うことなしに隣接する搬送ポート1104を通して真空雰囲気内におよびそこから外へガラス基板32(不図示)を搬送できるようにするのに利用される。
【0043】
複数の基板32が、チャンバー本体1102内で支持部材1160(明瞭のために図10Bには1枚だけ基板32を示す)上にそれぞれ支持される。支持部材1160は、チャンバー本体1102に結合しても、可動カセット1162内に配置してもよい。図10Bに描いた実施形態においては、可動カセット1162には、12個の垂直に積み重ねられた支持部材1160に結合された、少なくとも1つのスペーサ30および少なくとも1つのスペーサ50が含まれている。したがって、基板32が膨張または収縮するにしたがって、基板32は該基板を傷つけたりまたは別様に損傷したりすることなしにスペーサ50上で移動できる。本発明の恩恵を受けるようにされうる1つのロードロック・チャンバーは、AKT(アプライド・マテリアルの一事業部(カリフォルニア州サンタクララ))から入手できる。
【0044】
前記のものは本発明のいくつかの実施形態に向けられているが、本発明の他のさらなる実施形態も本発明の基本的な範囲から逸脱しなければ考え出しうるものであり、本発明の範囲は特許請求の範囲によって定められる。
【図面の簡単な説明】
【0045】
【図1】複数の支持部材およびスペーサを有する加熱チャンバーの一実施形態の断面図である。
【図2】複数のスペーサがその上に配置された棚/支持部材の一実施形態の平面図である。
【図3】従来のスペーサの一実施形態の側面図である。
【図4A】本発明のスペーサの一実施形態の断面図である。
【図4B】本発明のスペーサの他の実施形態の断面図である。
【図5】図4Aの切断線5−5に沿って取った、ボールの一実施形態の断面図である。
【図6A】本発明のスペーサの他の実施形態の断面図である。
【図6B】本発明のスペーサの他の実施形態の断面図である。
【図6C】本発明のスペーサの他の実施形態の断面図である。
【図7】本発明のスペーサの他の実施形態の断面図である。
【図8】本発明のスペーサの他の実施形態の断面図である。
【図9】図8の切断線9−9に沿って取った、図8のスペーサの断面図である。
【図10A】複数のスペーサがその上に配置された支持部材のロードロック・チャンバーの一実施形態の断面図である。
【図10B】複数のスペーサがその上に配置された支持部材のロードロック・チャンバーの他の一実施形態の断面図である。
【符号の説明】
【0046】
10…加熱チャンバー、12、14、15、82、608…側壁、16…底壁、18…蓋、20、22…加熱コイル、24…流入管、26…流出管、27…導管、28、1160…支持部材、30、50、150、250、350、600、650…スペーサ、32…ガラス基板、44…間隙、56…第1部分、57…第2部分、58…取付けピン、62…球、64…ソケット、66…球支持体、68…湾曲面、70…被覆層、72…中央部分、80…端部、84…内側部分、88…外側部分、90、1006…カセット、92、1020…昇降軸、94、1008、1108…スリットバルブ、96…ポート、152…円錐面、252、352…支持球、254…窪み、366、602、612…球支持面、604…距離、606…止め輪、610…リフトピン、1000、1100…ロードロック・チャンバー、1002、1102…チャンバー本体、1004、1104…ガラス搬送ポート、1010…ポンピング・ポート、1012…ベント、1014…フィルタ、1016…下側プレート、1018…上側プレート、1022…冷却板、1162…可動カセット
Claims (46)
- チャンバーに結合された少なくとも1つの基板支持部材を有する該チャンバー内で基板を支持するための装置であって、
第1部分および第2部分を有し、該第1部分が前記支持部材と調和するように適合されている本体と、
前記第2部分に配置され、球支持面を有するソケットと、
前記ソケットの前記球支持面上に回転可能に配置され、基板を上部に接触支持するように適合された球と、を備える装置。 - 前記球が、コーティング、メッキ、または電解研磨されている、請求項1に記載の装置。
- 前記球が、クロム、アルミ合金、窒化ケイ素、または窒化タングステンでコーティングまたはメッキされている、請求項1に記載の装置。
- 前記球支持面が、前記球の半径よりも大きな半径を有している、請求項1に記載の装置。
- 前記球支持面が円錐状である、請求項1に記載の装置。
- 前記球支持面が、さらに、
少なくとも1つの窪みまたは溝と、
前記球を支持する前記窪みまたは溝に配置された複数の球支持球と、
を備える、請求項1に記載の装置。 - さらに、前記球支持面と前記球の間に配置された複数の球支持球を備える、請求項1に記載の装置。
- ガラス基板を支持するための装置であって、
チャンバー本体と、
前記チャンバー本体に結合された少なくとも1つの支持部材と、
前記支持部材上に配置され、前記支持部材と間隙を開けて配置された関係で回転可能に前記ガラス基板を支持するように適合された1つ以上の球と、
を備える装置。 - 第1部分および第2部分を有するスペーサであって、該第1部分が前記支持部材上に配置され、前記第2部分が前記球をその中で回転可能に保持するソケットを有する前記スペーサを更に備える、請求項8に記載の装置。
- 前記ソケットが、さらに、円筒状側壁内に配置された球支持体を備える、請求項9に記載の装置。
- 前記球支持体が、さらに、前記球と単一の接触点を有する曲面を備える、請求項10に記載の装置。
- 前記球支持体が、さらに、前記球に接触する円錐面を備える、請求項10に記載の装置。
- 前記球支持体は、前記ソケット内で前記球を中央に位置決めする、請求項10に記載の装置。
- 前記球が4マイクロインチまたはそれよりも滑らかな表面粗さを有している、請求項8に記載の装置。
- 前記支持部材に結合された複数の取付けピンを備え、該ピンの各々がそれぞれのスペーサに結合されている、請求項9に記載の装置。
- 前記第1部分が中空であり、かつ前記取付けピンの少なくとも一部を受ける、請求項15に記載の装置。
- 前記球の少なくとも1つが前記基板の中央部を支持するように位置決めされている、請求項8に記載の装置。
- 前記球のいくつかが前記基板の周縁部を支持し、前記球の少なくとも1つが前記基板の中央部を支持するように位置決めされている、請求項8に記載の装置。
- 固定された最上面を有する複数のスペーサが前記基板の周縁部を支持し、前記球の少なくとも1つが前記基板の中央部を支持するように位置決めされている請求項8に記載の装置。
- 前記球が、コーティング、メッキ、または電解研磨されている、請求項8に記載の装置。
- 前記球が、クロム、アルミ合金、窒化ケイ素、または窒化タングステンでコーティングまたはメッキされている、請求項8に記載の装置。
- 各支持部材が、さらに、前記支持部材と前記球の間に配置された複数の球支持球を備える、請求項8に記載の装置。
- ガラス基板を支持するための装置であって、
チャンバー本体と、
前記チャンバー本体に結合された少なくとも1つの支持部材と、
前記支持部材上に配置され、前記支持部材と間隙を開けて配置された関係で回転可能に前記ガラス基板を支持するように適合された1つ以上の球と、
第1部分および第2部分を有するスペーサであって、該第1部分が前記支持部材上に配置され、前記第2部分が前記球をその中で回転可能に保持するソケットを有する前記スペーサと、
を備える装置。 - 前記ソケットが、さらに、円筒状側壁内に配置された球支持面を備える、請求項23に記載の装置。
- 前記球支持面が、さらに、前記球と単一の接触点を有する曲面を備える、請求項24に記載の装置。
- 前記球支持面が、さらに、前記球に接触する円錐面を備える、請求項24に記載の装置。
- 前記球支持面が前記ソケットの中で前記球を中央に位置決めする、請求項24に記載の装置。
- 前記球が4マイクロインチまたはそれよりも滑らかな表面粗さを有している、請求項23に記載の装置。
- 前記支持部材に結合された複数の取付ピンを備え、該ピンの各々がそれぞれのスペーサに結合されている、請求項23に記載の装置。
- 前記第1部分が中空であり、かつ前記取付けピンの少なくとも一部を受ける、請求項29に記載の装置。
- 前記球の少なくとも1つが前記基板の中央部を支持するように位置決めされている、請求項23に記載の装置。
- 前記複数のスペーサが、前記基板の周縁部を支持する非回転面を有する第1群と、前記基板の中央部を支持する球を有する第2群と、
を含む、請求項23に記載の装置。 - 前記球が、コーティング、メッキ、または電解研磨されている、請求項23に記載の装置。
- 前記球が、クロム、アルミ合金、窒化ケイ素、または窒化タングステンでコーティングまたはメッキされている、請求項23に記載の装置。
- 前記チャンバー本体が、熱処理チャンバーである、請求項23に記載の装置。
- 前記チャンバー本体が、さらに、
第1側壁に配置された第1基板搬送ポートと、
第2側壁に配置された第2基板搬送ポートと、
を備える、請求項23に記載の装置。 - 前記チャンバー本体が、さらに、
第1側壁に配置された第1基板搬送ポートと、
第2側壁に配置された第1基板搬送ポートと、
を備える、請求項23に記載の装置。 - さらに、前記支持部材の球支持面と前記球の間に配置された複数の球支持球を備える、請求項23に記載の装置。
- 前記球が、前記支持部材に対して横方向に移動する、請求項23に記載の装置。
- ガラス基板を支持するための装置であって、
少なくとも1つの側壁を有する基板加熱チャンバーと、
前記側壁に結合された複数の支持部材と、
第1部分および第2部分を有するスペーサであって、該第1部分が前記支持部材上に配置され、前記第2部分がソケットを有する、各支持部材に配置された前記スペーサと、
前記ソケット内に回転可能に配置され、かつ前記支持部材と間隙を開けて配置された関係で前記ガラス基板を支持するように適合された球と、
を備える装置 - 前記基板加熱チャンバーが、アニール・チャンバーである、請求項40に記載の装置。
- さらに、前記ソケットの球支持面と前記球の間に配置された複数の球支持球を備える、請求項40に記載の装置。
- 前記球が前記ソケットに対して横方向に移動および/または回転する、請求項40に記載の装置。
- ガラス基板を支持するための装置であって、
第1側壁に配置された第1基板搬送ポートおよび第2側壁に配置された第2基板搬送ポートを有するロードロック・チャンバーと、
前記チャンバーに配置された少なくとも1つの支持部材と、
第1部分および第2部分を有し、該第1部分が前記支持部材上に配置され、前記第2部分がソケットを有し、前記支持部材上に配置された少なくとも1つスペーサと、
前記ソケット内に回転可能に配置され、前記支持部材と間隙を開けて配置された関係で前記ガラス基板を支持するように適合された球と、
を備える装置。 - さらに、前記ソケットの球支持面と前記球の間に配置された複数の球支持球を備える、請求項44に記載の装置。
- 前記球が前記ソケットに対して横方向に移動および/または回転する、請求項44に記載の装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/982,406 US20030072639A1 (en) | 2001-10-17 | 2001-10-17 | Substrate support |
PCT/US2002/030268 WO2003034473A2 (en) | 2001-10-17 | 2002-09-24 | Substrate support |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005507162A true JP2005507162A (ja) | 2005-03-10 |
JP2005507162A5 JP2005507162A5 (ja) | 2006-01-05 |
Family
ID=25529137
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003537106A Pending JP2005507162A (ja) | 2001-10-17 | 2002-09-24 | 基板支持体 |
Country Status (7)
Country | Link |
---|---|
US (1) | US20030072639A1 (ja) |
EP (1) | EP1436829A2 (ja) |
JP (1) | JP2005507162A (ja) |
KR (1) | KR20040034611A (ja) |
CN (1) | CN1572014A (ja) |
TW (1) | TW561575B (ja) |
WO (1) | WO2003034473A2 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008282858A (ja) * | 2007-05-08 | 2008-11-20 | Ulvac Japan Ltd | 基板支持ピン |
JP2013539600A (ja) * | 2010-08-31 | 2013-10-24 | 株式会社テラセミコン | バッチ式基板処理装置 |
JP2015076415A (ja) * | 2013-10-04 | 2015-04-20 | 株式会社島津製作所 | 基板移載システム |
Families Citing this family (178)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6528767B2 (en) * | 2001-05-22 | 2003-03-04 | Applied Materials, Inc. | Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications |
US20040065656A1 (en) * | 2002-10-04 | 2004-04-08 | Makoto Inagawa | Heated substrate support |
US6917755B2 (en) * | 2003-02-27 | 2005-07-12 | Applied Materials, Inc. | Substrate support |
WO2004097928A1 (en) * | 2003-04-30 | 2004-11-11 | Sang-Ki Lee | Support holder of the substrate and device for centering or feeding using the same |
US20040226513A1 (en) * | 2003-05-12 | 2004-11-18 | Applied Materials, Inc. | Chamber for uniform heating of large area substrates |
US8033245B2 (en) | 2004-02-12 | 2011-10-11 | Applied Materials, Inc. | Substrate support bushing |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20060016398A1 (en) * | 2004-05-28 | 2006-01-26 | Laurent Dubost | Supporting and lifting device for substrates in vacuum |
US7497414B2 (en) | 2004-06-14 | 2009-03-03 | Applied Materials, Inc. | Curved slit valve door with flexible coupling |
US7550381B2 (en) * | 2005-07-18 | 2009-06-23 | Applied Materials, Inc. | Contact clean by remote plasma and repair of silicide surface |
TWI295816B (en) | 2005-07-19 | 2008-04-11 | Applied Materials Inc | Hybrid pvd-cvd system |
KR100711875B1 (ko) | 2005-07-29 | 2007-04-25 | 삼성에스디아이 주식회사 | 유기 발광표시장치 제조용 석영 플레이트 지지장치 |
JP4642610B2 (ja) * | 2005-09-05 | 2011-03-02 | 東京エレクトロン株式会社 | 基板位置合わせ装置および基板収容ユニット |
US20080105201A1 (en) * | 2006-11-03 | 2008-05-08 | Applied Materials, Inc. | Substrate support components having quartz contact tips |
US7942969B2 (en) * | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
EP2141259B1 (en) * | 2008-07-04 | 2018-10-31 | ABB Schweiz AG | Deposition method for passivation of silicon wafers |
US20100122655A1 (en) * | 2008-11-14 | 2010-05-20 | Tiner Robin L | Ball supported shadow frame |
JP5549441B2 (ja) * | 2010-01-14 | 2014-07-16 | 東京エレクトロン株式会社 | 保持体機構、ロードロック装置、処理装置及び搬送機構 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9371584B2 (en) * | 2011-03-09 | 2016-06-21 | Applied Materials, Inc. | Processing chamber and method for centering a substrate therein |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
TWI514463B (zh) * | 2012-11-30 | 2015-12-21 | Global Material Science Co Ltd | 乾蝕刻設備中的靜電吸附板表面凸點的製造方法 |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
KR102386998B1 (ko) * | 2015-07-30 | 2022-04-15 | 엘지디스플레이 주식회사 | 서포터 핀 및 이를 포함하는 열처리장치 |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
WO2017102162A1 (en) * | 2015-12-15 | 2017-06-22 | Asml Netherlands B.V. | A substrate holder, a lithographic apparatus and method of manufacturing devices |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
EP3258279A1 (en) * | 2016-06-16 | 2017-12-20 | Multitest elektronische Systeme GmbH | Pressing device and method of pressing a carrier against an electrical contact unit |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US20190027392A1 (en) * | 2017-07-19 | 2019-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Substrate support apparatus and method |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US11033963B1 (en) * | 2017-10-31 | 2021-06-15 | United States Of America As Represented By The Administrator Of National Aeronautics And Space Administration | Method for making small diameter nickel-titanium metal alloy balls |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN110273142B (zh) * | 2019-07-31 | 2021-06-04 | 常州时创能源股份有限公司 | 硅片的对位装置和对位方法 |
KR200491813Y1 (ko) * | 2019-11-18 | 2020-06-09 | 주식회사 엘에스텍 | 포스비 위치 보정 장치 |
CN115938998A (zh) * | 2022-02-28 | 2023-04-07 | 长沙瑶华半导体科技有限公司 | 一种改善基板封装翘曲的方法 |
Family Cites Families (97)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3448023A (en) * | 1966-01-20 | 1969-06-03 | Hammond Machinery Builders Inc | Belt type electro-chemical (or electrolytic) grinding machine |
US3873512A (en) * | 1973-04-30 | 1975-03-25 | Martin Marietta Corp | Machining method |
US4108455A (en) * | 1975-12-22 | 1978-08-22 | The Boeing Company | Cargo pallet incorporating retractable ball units |
US4839993A (en) * | 1986-01-28 | 1989-06-20 | Fujisu Limited | Polishing machine for ferrule of optical fiber connector |
JPH0697674B2 (ja) * | 1986-02-19 | 1994-11-30 | キヤノン株式会社 | ボ−ル接触型ウエハチヤツク |
US4801144A (en) * | 1987-09-01 | 1989-01-31 | Roll-A-Puck Limited | Hockey puck |
JPH01193166A (ja) * | 1988-01-28 | 1989-08-03 | Showa Denko Kk | 半導体ウェハ鏡面研磨用パッド |
US4934102A (en) * | 1988-10-04 | 1990-06-19 | International Business Machines Corporation | System for mechanical planarization |
JPH02121347A (ja) * | 1988-10-31 | 1990-05-09 | Tokyo Electron Ltd | ウエハの位置決め装置 |
CH678156A5 (ja) * | 1989-03-20 | 1991-08-15 | Exnii Metallorezh Stankov | |
US5136817A (en) * | 1990-02-28 | 1992-08-11 | Nihon Dempa Kogyo Co., Ltd. | Automatic lapping apparatus for piezoelectric materials |
JPH0424943A (ja) * | 1990-05-15 | 1992-01-28 | Mitsubishi Electric Corp | ウエハチャック |
US5096550A (en) * | 1990-10-15 | 1992-03-17 | The United States Of America As Represented By The United States Department Of Energy | Method and apparatus for spatially uniform electropolishing and electrolytic etching |
US5217586A (en) * | 1992-01-09 | 1993-06-08 | International Business Machines Corporation | Electrochemical tool for uniform metal removal during electropolishing |
US5225034A (en) * | 1992-06-04 | 1993-07-06 | Micron Technology, Inc. | Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing |
DE69329348T2 (de) * | 1992-06-26 | 2001-03-08 | Gillette Co | Kugelschreiber |
US5562529A (en) * | 1992-10-08 | 1996-10-08 | Fujitsu Limited | Apparatus and method for uniformly polishing a wafer |
US5534106A (en) * | 1994-07-26 | 1996-07-09 | Kabushiki Kaisha Toshiba | Apparatus for processing semiconductor wafers |
US5567300A (en) * | 1994-09-02 | 1996-10-22 | Ibm Corporation | Electrochemical metal removal technique for planarization of surfaces |
US6017265A (en) * | 1995-06-07 | 2000-01-25 | Rodel, Inc. | Methods for using polishing pads |
US5486282A (en) * | 1994-11-30 | 1996-01-23 | Ibm Corporation | Electroetching process for seed layer removal in electrochemical fabrication of wafers |
US5893796A (en) * | 1995-03-28 | 1999-04-13 | Applied Materials, Inc. | Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus |
US6024630A (en) * | 1995-06-09 | 2000-02-15 | Applied Materials, Inc. | Fluid-pressure regulated wafer polishing head |
US5804507A (en) * | 1995-10-27 | 1998-09-08 | Applied Materials, Inc. | Radially oscillating carousel processing system for chemical mechanical polishing |
US5738574A (en) * | 1995-10-27 | 1998-04-14 | Applied Materials, Inc. | Continuous processing system for chemical mechanical polishing |
JPH09293684A (ja) * | 1996-04-25 | 1997-11-11 | Toshiba Corp | 熱処理用治具 |
US5871392A (en) * | 1996-06-13 | 1999-02-16 | Micron Technology, Inc. | Under-pad for chemical-mechanical planarization of semiconductor wafers |
US6056851A (en) * | 1996-06-24 | 2000-05-02 | Taiwan Semiconductor Manufacturing Company | Slurry supply system for chemical mechanical polishing |
US6183354B1 (en) * | 1996-11-08 | 2001-02-06 | Applied Materials, Inc. | Carrier head with a flexible membrane for a chemical mechanical polishing system |
US6082950A (en) * | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
FR2758285B3 (fr) * | 1997-01-13 | 1998-12-04 | Struers As | Procede de fixation d'un agent abrasif ou de polissage, sous forme de feuille, sur un support magnetique |
US6020264A (en) * | 1997-01-31 | 2000-02-01 | International Business Machines Corporation | Method and apparatus for in-line oxide thickness determination in chemical-mechanical polishing |
US5938801A (en) * | 1997-02-12 | 1999-08-17 | Micron Technology, Inc. | Polishing pad and a method for making a polishing pad with covalently bonded particles |
US5955858A (en) * | 1997-02-14 | 1999-09-21 | Applied Materials, Inc. | Mechanically clamping robot wrist |
US5807165A (en) * | 1997-03-26 | 1998-09-15 | International Business Machines Corporation | Method of electrochemical mechanical planarization |
US5911619A (en) * | 1997-03-26 | 1999-06-15 | International Business Machines Corporation | Apparatus for electrochemical mechanical planarization |
US5990010A (en) * | 1997-04-08 | 1999-11-23 | Lsi Logic Corporation | Pre-conditioning polishing pads for chemical-mechanical polishing |
JPH10329007A (ja) * | 1997-05-28 | 1998-12-15 | Sony Corp | 化学的機械研磨装置 |
US5931719A (en) * | 1997-08-25 | 1999-08-03 | Lsi Logic Corporation | Method and apparatus for using pressure differentials through a polishing pad to improve performance in chemical mechanical polishing |
US6033293A (en) * | 1997-10-08 | 2000-03-07 | Lucent Technologies Inc. | Apparatus for performing chemical-mechanical polishing |
US6103096A (en) * | 1997-11-12 | 2000-08-15 | International Business Machines Corporation | Apparatus and method for the electrochemical etching of a wafer |
WO1999026758A1 (en) * | 1997-11-25 | 1999-06-03 | John Hopkins University | Electrochemical-control of abrasive polishing and machining rates |
KR100474746B1 (ko) * | 1998-02-12 | 2005-03-08 | 에이씨엠 리서치, 인코포레이티드 | 도금 장치 및 방법 |
US6004880A (en) * | 1998-02-20 | 1999-12-21 | Lsi Logic Corporation | Method of single step damascene process for deposition and global planarization |
US6210257B1 (en) * | 1998-05-29 | 2001-04-03 | Micron Technology, Inc. | Web-format polishing pads and methods for manufacturing and using web-format polishing pads in mechanical and chemical-mechanical planarization of microelectronic substrates |
US6201901B1 (en) * | 1998-06-01 | 2001-03-13 | Matsushita Electronic Industrial Co., Ltd. | Border-less clock free two-dimensional barcode and method for printing and reading the same |
US6447668B1 (en) * | 1998-07-09 | 2002-09-10 | Acm Research, Inc. | Methods and apparatus for end-point detection |
US6395152B1 (en) * | 1998-07-09 | 2002-05-28 | Acm Research, Inc. | Methods and apparatus for electropolishing metal interconnections on semiconductor devices |
US6248222B1 (en) * | 1998-09-08 | 2001-06-19 | Acm Research, Inc. | Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces |
US6176992B1 (en) * | 1998-11-03 | 2001-01-23 | Nutool, Inc. | Method and apparatus for electro-chemical mechanical deposition |
US6541381B2 (en) * | 1998-11-06 | 2003-04-01 | Beaver Creek Concepts Inc | Finishing method for semiconductor wafers using a lubricating boundary layer |
JP3477384B2 (ja) * | 1998-11-27 | 2003-12-10 | シャープ株式会社 | 光磁気記録媒体 |
US6726823B1 (en) * | 1998-11-28 | 2004-04-27 | Acm Research, Inc. | Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces |
US6077337A (en) * | 1998-12-01 | 2000-06-20 | Intel Corporation | Chemical-mechanical polishing slurry |
US6328872B1 (en) * | 1999-04-03 | 2001-12-11 | Nutool, Inc. | Method and apparatus for plating and polishing a semiconductor substrate |
US6409904B1 (en) * | 1998-12-01 | 2002-06-25 | Nutool, Inc. | Method and apparatus for depositing and controlling the texture of a thin film |
US6251235B1 (en) * | 1999-03-30 | 2001-06-26 | Nutool, Inc. | Apparatus for forming an electrical contact with a semiconductor substrate |
US6413388B1 (en) * | 2000-02-23 | 2002-07-02 | Nutool Inc. | Pad designs and structures for a versatile materials processing apparatus |
JP2000183146A (ja) * | 1998-12-18 | 2000-06-30 | Ibiden Co Ltd | 静電チャック |
US6244935B1 (en) * | 1999-02-04 | 2001-06-12 | Applied Materials, Inc. | Apparatus and methods for chemical mechanical polishing with an advanceable polishing sheet |
US6066030A (en) * | 1999-03-04 | 2000-05-23 | International Business Machines Corporation | Electroetch and chemical mechanical polishing equipment |
US6238592B1 (en) * | 1999-03-10 | 2001-05-29 | 3M Innovative Properties Company | Working liquids and methods for modifying structured wafers suited for semiconductor fabrication |
GB2347784B (en) * | 1999-03-11 | 2004-02-11 | Applied Materials Inc | Scanning wheel for ion implantation process chamber |
US6431968B1 (en) * | 1999-04-22 | 2002-08-13 | Applied Materials, Inc. | Carrier head with a compressible film |
US6238271B1 (en) * | 1999-04-30 | 2001-05-29 | Speed Fam-Ipec Corp. | Methods and apparatus for improved polishing of workpieces |
US20020077037A1 (en) * | 1999-05-03 | 2002-06-20 | Tietz James V. | Fixed abrasive articles |
US6261168B1 (en) * | 1999-05-21 | 2001-07-17 | Lam Research Corporation | Chemical mechanical planarization or polishing pad with sections having varied groove patterns |
JP2000353737A (ja) * | 1999-06-14 | 2000-12-19 | Toray Ind Inc | 基板整列装置 |
US6381169B1 (en) * | 1999-07-01 | 2002-04-30 | The Regents Of The University Of California | High density non-volatile memory device |
US6234870B1 (en) * | 1999-08-24 | 2001-05-22 | International Business Machines Corporation | Serial intelligent electro-chemical-mechanical wafer processor |
US6406363B1 (en) * | 1999-08-31 | 2002-06-18 | Lam Research Corporation | Unsupported chemical mechanical polishing belt |
US6520843B1 (en) * | 1999-10-27 | 2003-02-18 | Strasbaugh | High planarity chemical mechanical planarization |
US6551179B1 (en) * | 1999-11-05 | 2003-04-22 | Strasbaugh | Hard polishing pad for chemical mechanical planarization |
US6569004B1 (en) * | 1999-12-30 | 2003-05-27 | Lam Research | Polishing pad and method of manufacture |
US6368184B1 (en) * | 2000-01-06 | 2002-04-09 | Advanced Micro Devices, Inc. | Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes |
US6630059B1 (en) * | 2000-01-14 | 2003-10-07 | Nutool, Inc. | Workpeice proximity plating apparatus |
US7066800B2 (en) * | 2000-02-17 | 2006-06-27 | Applied Materials Inc. | Conductive polishing article for electrochemical mechanical polishing |
US6537144B1 (en) * | 2000-02-17 | 2003-03-25 | Applied Materials, Inc. | Method and apparatus for enhanced CMP using metals having reductive properties |
JP2001269862A (ja) * | 2000-03-27 | 2001-10-02 | Toshiba Corp | 研磨パッド、研磨装置及び研磨方法 |
US6402591B1 (en) * | 2000-03-31 | 2002-06-11 | Lam Research Corporation | Planarization system for chemical-mechanical polishing |
US6428394B1 (en) * | 2000-03-31 | 2002-08-06 | Lam Research Corporation | Method and apparatus for chemical mechanical planarization and polishing of semiconductor wafers using a continuous polishing member feed |
US6261959B1 (en) * | 2000-03-31 | 2001-07-17 | Lam Research Corporation | Method and apparatus for chemically-mechanically polishing semiconductor wafers |
US7160176B2 (en) * | 2000-08-30 | 2007-01-09 | Micron Technology, Inc. | Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate |
US7112121B2 (en) * | 2000-08-30 | 2006-09-26 | Micron Technology, Inc. | Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate |
JP2002093761A (ja) * | 2000-09-19 | 2002-03-29 | Sony Corp | 研磨方法、研磨装置、メッキ方法およびメッキ装置 |
US6572463B1 (en) * | 2000-12-27 | 2003-06-03 | Lam Research Corp. | Methods for making reinforced wafer polishing pads utilizing direct casting and apparatuses implementing the same |
US6561889B1 (en) * | 2000-12-27 | 2003-05-13 | Lam Research Corporation | Methods for making reinforced wafer polishing pads and apparatuses implementing the same |
US6736952B2 (en) * | 2001-02-12 | 2004-05-18 | Speedfam-Ipec Corporation | Method and apparatus for electrochemical planarization of a workpiece |
WO2002070200A1 (en) * | 2001-03-01 | 2002-09-12 | Cabot Microelectronics Corporation | Method for manufacturing a polishing pad having a compressed translucent region |
US6899804B2 (en) * | 2001-12-21 | 2005-05-31 | Applied Materials, Inc. | Electrolyte composition and treatment for electrolytic chemical mechanical polishing |
US6811680B2 (en) * | 2001-03-14 | 2004-11-02 | Applied Materials Inc. | Planarization of substrates using electrochemical mechanical polishing |
US6479962B2 (en) * | 2001-03-16 | 2002-11-12 | Hewlett-Packard Company | In-device charging system and method for multi-chemistry battery systems |
US6517426B2 (en) * | 2001-04-05 | 2003-02-11 | Lam Research Corporation | Composite polishing pad for chemical-mechanical polishing |
KR20030015567A (ko) * | 2001-08-16 | 2003-02-25 | 에스케이에버텍 주식회사 | 웨이브 형태의 그루브가 형성된 화학적 기계적 연마패드 |
US6776693B2 (en) * | 2001-12-19 | 2004-08-17 | Applied Materials Inc. | Method and apparatus for face-up substrate polishing |
JP2003239952A (ja) * | 2002-02-12 | 2003-08-27 | Takai Corporation:Kk | 確実に回転するボールを持ったツバ付きボールプランジャー |
US6917755B2 (en) * | 2003-02-27 | 2005-07-12 | Applied Materials, Inc. | Substrate support |
-
2001
- 2001-10-17 US US09/982,406 patent/US20030072639A1/en not_active Abandoned
-
2002
- 2002-09-24 CN CNA028206304A patent/CN1572014A/zh active Pending
- 2002-09-24 KR KR10-2003-7015893A patent/KR20040034611A/ko not_active Application Discontinuation
- 2002-09-24 WO PCT/US2002/030268 patent/WO2003034473A2/en active Application Filing
- 2002-09-24 JP JP2003537106A patent/JP2005507162A/ja active Pending
- 2002-09-24 EP EP02801637A patent/EP1436829A2/en not_active Withdrawn
- 2002-09-30 TW TW091122571A patent/TW561575B/zh not_active IP Right Cessation
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008282858A (ja) * | 2007-05-08 | 2008-11-20 | Ulvac Japan Ltd | 基板支持ピン |
JP2013539600A (ja) * | 2010-08-31 | 2013-10-24 | 株式会社テラセミコン | バッチ式基板処理装置 |
JP2015076415A (ja) * | 2013-10-04 | 2015-04-20 | 株式会社島津製作所 | 基板移載システム |
Also Published As
Publication number | Publication date |
---|---|
EP1436829A2 (en) | 2004-07-14 |
WO2003034473A3 (en) | 2003-07-31 |
CN1572014A (zh) | 2005-01-26 |
US20030072639A1 (en) | 2003-04-17 |
TW561575B (en) | 2003-11-11 |
WO2003034473A2 (en) | 2003-04-24 |
KR20040034611A (ko) | 2004-04-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2005507162A (ja) | 基板支持体 | |
EP1390968B1 (en) | Smooth multipart substrate support member for cvd | |
JP4640917B2 (ja) | 基板支持体 | |
US8216422B2 (en) | Substrate support bushing | |
US6765178B2 (en) | Chamber for uniform substrate heating | |
CN101266924B (zh) | 基板处理装置和基板处理方法 | |
US6462411B1 (en) | Semiconductor wafer processing apparatus for transferring a wafer mount | |
KR20030063448A (ko) | 처리성능을 개선하기 위한 서셉터 포켓 프로파일 | |
JP2004533117A (ja) | 基板サポートアセンブリと基板処理用装置 | |
US6031205A (en) | Thermal treatment apparatus with thermal protection members intercepting thermal radiation at or above a predetermined angle | |
JP3852980B2 (ja) | 薄膜作成方法及びスパッタリング装置 | |
JP3664193B2 (ja) | 熱処理装置及び熱処理方法 | |
JP2000323413A (ja) | 半導体製造装置および半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20050909 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050909 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080527 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20081028 |