JP3333135B2 - 熱処理装置及び熱処理方法 - Google Patents

熱処理装置及び熱処理方法

Info

Publication number
JP3333135B2
JP3333135B2 JP17911498A JP17911498A JP3333135B2 JP 3333135 B2 JP3333135 B2 JP 3333135B2 JP 17911498 A JP17911498 A JP 17911498A JP 17911498 A JP17911498 A JP 17911498A JP 3333135 B2 JP3333135 B2 JP 3333135B2
Authority
JP
Japan
Prior art keywords
heat treatment
substrate
processed
board
heat
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP17911498A
Other languages
English (en)
Other versions
JP2000012447A (ja
Inventor
徹也 佐田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP17911498A priority Critical patent/JP3333135B2/ja
Priority to US09/338,231 priority patent/US6129546A/en
Priority to TW088110643A priority patent/TW417185B/zh
Priority to KR10-1999-0024254A priority patent/KR100467916B1/ko
Publication of JP2000012447A publication Critical patent/JP2000012447A/ja
Application granted granted Critical
Publication of JP3333135B2 publication Critical patent/JP3333135B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Liquid Crystal (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、例えば写真製版技
術を用いて液晶表示装置(以下、液晶表示装置を「LC
D」と記す。)を製造するLCD製造システム内に組み
込まれる加熱装置や冷却装置などの熱処理装置や熱処理
方法に関する。
【0002】
【従来の技術】従来より、LCD用ガラス基板(以下、
LCD用ガラス基板のことを単に「ガラス基板」とい
う。)に熱処理を施す熱処理装置としては、ヒータや冷
却体などを内蔵した熱処理盤の上面に真空引きなどでガ
ラス基板を吸着し、ガラス基板の下面と熱処理盤の上面
とを接触させ、この状態で熱処理盤からガラス基板へ直
接熱量のやりとりを行う直接接触型の熱処理装置が用い
られていた。
【0003】この直接接触型熱処理装置では、被処理体
であるガラス基板に熱処理盤が接触した状態で直接熱量
の移動が行われるため、熱量のやりとりの効率が高く、
短時間でしかも均一にガラス基板を熱処理できるという
長所を備えている。
【0004】しかし、この直接接触型熱処理装置では、
ガラス基板と熱処理盤との間に摩擦が起きやすい。摩擦
が起きるとガラス基板に静電気が蓄積され、この静電気
が所定量を越えると静電破壊が起きる。この静電破壊が
起きるときの電圧は数十キロボルトと極めて高く、ガラ
ス基板に著しいダメージを与える。そのため、この静電
破壊はLCDの歩留まりを低下させる主要因のひとつで
あり、この静電破壊をいかに防止するかが重要な課題と
なっている。
【0005】この静電破壊を防止する一つの方法として
非接触型の熱処理方法が挙げられる。この非接触型の熱
処理方法は、熱処理盤の上面に微小な突起を多数設けて
おき、ガラス基板を熱処理盤上にセットしたとき、ガラ
ス基板の下面はこの突起の先端のみと接触し、他の大部
分は熱処理盤の上面と離間した状態で支持される。そし
て熱処理盤からの熱量は熱処理盤の上面とガラス基板の
下面との間に形成される僅かな間隙中に存在する空気を
介して伝達されるようになっている。
【0006】この非接触型の熱処理装置では、確かに摩
擦による静電気の発生は抑制されるため、静電破壊によ
るガラス基板の損失は小さいという利点がある。
【0007】しかるに、この非接触型の熱処理装置で
は、ガラス基板への熱量の伝達を空気層を介して間接的
に行うため、ガラス基板への熱量の伝達に時間がかかり
過ぎるといった問題や、或いは、ガラス基板に均一に熱
量が伝わり難いため、均一な熱処理を行うのが困難であ
るという問題がある。
【0008】
【発明が解決しようとする課題】本発明は上記問題を解
決するためになされたものである。
【0009】すなわち、本発明は静電破壊を起こすこと
のない熱処理装置や熱処理方法を提供することを目的と
する。
【0010】また、本発明はガラス基板全体にわたって
均一な熱処理を施すことのできる熱処理装置や熱処理方
法を提供することを目的とする。
【0011】更に、本発明は迅速に熱処理を行うことの
できる熱処理装置や熱処理方法を提供することを目的と
する。
【0012】
【課題を解決するための手段】上記課題を解決するた
め、請求項1記載の熱処理装置は、被処理基板を載置す
る熱処理盤と、前記熱処理盤上面上に配設された吸着口
と、前記吸着口に真空を供給する真空供給系と、前記真
空供給系の真空度を制御する手段と、前記熱処理盤上面
上に配設された微小突起であって、前記真空度を上昇さ
せたときに前記被処理基板が前記熱処理盤と接触し、前
記真空度を低下させたときに前記被処理基板と前記熱処
理盤とが離間するような位置及び高さに形成され、か
つ、前記熱処理盤上に載置された被処理基板の各辺の中
点を結ぶ菱形を形成する位置に配設されている微小突起
と、を具備する。
【0013】請求項2記載の熱処理装置は、被処理基板
を載置する熱処理盤と、前記熱処理盤上面上に配設され
た吸着口と、前記吸着口に真空を供給する真空供給系
と、前記真空供給系の真空度を制御する手段と、前記熱
処理盤上面上に配設された微小突起であって、前記真空
度を上昇させたときに前記被処理基板が前記熱処理盤と
接触し、前記真空度を低下させたときに前記被処理基板
と前記熱処理盤とが離間するような位置及び高さに形成
され、かつ、前記熱処理盤上に載置された被処理基板の
四隅と各辺の中点の位置に配設されている微小突起と、
を具備する。
【0014】請求項3記載の熱処理装置は、請求項1又
は2記載の熱処理装置であって、前記微小突起の高さが
前記熱処理盤の上面から0.2〜0.3mmであること
を特徴とする。
【0015】請求項4記載の熱処理装置は、被処理基板
を加熱する熱処理盤と、前記被処理基板を複数点で支持
して前記熱処理盤と前記被処理基板とを近接及び/又は
離間させる移載手段と、この移載手段により支持された
状態で前記被処理基板を前記熱処理盤側に吸引により接
触自在に構成された吸引手段と、を具備する。
【0016】請求項5記載の熱処理装置は、請求項
載の熱処理装置であって、前記吸引手段の吸引量を調節
する吸引量調節手段を具備し、この吸引量調節手段によ
り前記被処理基板を前記熱処理盤に接触させる又は所定
の間隔に維持して前記被処理基板を処理するように構成
されたことを特徴とする。
【0017】請求項6記載の熱処理装置は、請求項4又
は5に記載の熱処理装置であって、前記移載手段は、前
記熱処理盤上に配設された貫通孔を介して前記熱処理盤
上面上から出没可能に配設されたピンと、このピンを駆
動する駆動手段と、を具備することを特徴とする。
【0018】請求項7記載の熱処理装置は、請求項4又
は5に記載の熱処理装置であって、前記移載手段は、前
記熱処理盤上面上から出没可能に配設されたピンと、こ
のピンを駆動する圧電素子と、この圧電素子へ駆動電圧
を供給する電圧供給系と、を具備することを特徴と
る。
【0019】請求項8記載の熱処理装置は、被処理基板
加熱又は冷却する熱処理盤と、前記熱処理盤上面上に
配設された吸着口と、前記吸着口に真空を供給する真空
供給系と、前記真空供給系の真空度を制御する手段と、
前記熱処理盤上面上に配設された微小突起であって、前
記真空度を上昇させたときに前記被処理基板が前記熱処
理盤と接触し、前記真空度を低下させたときに前記被処
理基板と前記熱処理盤とが離間するような位置及び高さ
に形成されている微小突起と、を具備し、前記真空度を
制御する手段は、真空を作用させて前記被処理基板と前
記熱処理盤との間の空気を前記吸着口から抜いて前記被
処理基板を湾曲させ、湾曲した底の部分を前記熱処理盤
の上面に直接接触させて前記被処理基板と前記熱処理盤
との間で熱量を移動させ、その後、真空引きを停止させ
て前記被処理基板の剛性による湾曲の解消と、前記被処
理基板と前記熱処理盤との間の空気の流れ込みとにより
前記被処理基板と前記熱処理盤とを徐々に離間させ、前
記被処理基板と前記熱処理盤の間の空気層を介して前記
被処理基板と前記熱処理盤との間で熱量を移動させるよ
うに前記真空系の真空度を制御することを特徴とする。
【0020】
【0021】
【0022】
【0023】請求項記載の熱処理方法は、被処理基板
を加熱又は冷却する熱処理方法であって、前記被処理基
板と所定位置に微小突起を備えた熱処理盤との間に負圧
を作用させて前記被処理基板と前記熱処理盤とを接触さ
て前記被処理基板と前記熱処理盤との間で熱量を移動
させる工程と、その後、前記負圧を低下させて前記被処
理基板と前記熱処理盤とを微小距離離間させて前記被処
理基板と前記熱処理盤との間の空気を介して前記被処理
基板と前記熱処理盤との間で熱量を移動させる工程と、
を具備する。
【0024】
【0025】
【0026】
【0027】
【0028】本発明の熱処理装置では、前記真空の強さ
を制御することにより所定のタイミングで前記被処理基
板と前記熱処理盤とを接離させることができる。
【0029】即ち、熱処理開始直後の移動する熱量が大
きい時期は真空の強さを大きくして前記被処理基板と前
記熱処理盤とを接触させる。その一方、被処理基板への
熱量の移動量が低下した後は前記真空の強さを小さくす
る。すると被処理基板は自らの剛性で真っ直ぐに戻ろう
とするため、前記被処理基板と前記熱処理盤とが離間す
る。そのため、短時間で均一に加熱できるとともに、静
電破壊を防止することができる。
【0030】本発明の熱処理装置では、前記微小突起が
適当な位置と高さに形成されている。そのため、前記真
空度を上昇させたときに前記被処理基板が前記熱処理盤
と接触し、前記真空度を低下させたときに前記被処理基
板と前記熱処理盤とが離間する。
【0031】従って、熱処理開始直後の移動する熱量が
大きい時期は真空度を高くして熱処理盤から被処理基板
への熱量の移動を促進する。その一方、被処理基板への
熱量の移動量が低下した後は前記真空度を低くして静電
気の発生を防止する。
【0032】そのため、短時間で均一に加熱できるとと
もに、静電破壊を防止することができる。
【0033】請求項記載の熱処理装置では、前記微小
突起が、前記熱処理盤上に載置された被処理基板の各辺
の中点を結ぶ菱形を形成する位置に配設されている。
【0034】そのため、前記被処理基板と前記熱処理盤
との間に作用する真空の真空度を制御することにより前
記被処理基板と前記熱処理盤とを適宜接離させることが
でき、短時間で均一に加熱できるとともに、静電破壊を
防止することができる。
【0035】請求項記載の熱処理装置では、前記微小
突起が、前記熱処理盤上に載置された被処理基板の四隅
と各辺の中点の位置に配設されている。
【0036】そのため、前記被処理基板と前記熱処理盤
との間に作用する真空の真空度を制御することにより前
記被処理基板と前記熱処理盤とを適宜接離させることが
でき、短時間で均一に加熱できるとともに、静電破壊を
防止することができる。
【0037】請求項記載の熱処理装置では、請求項
又は2記載の熱処理装置において、前記微小突起とし
て、高さが前記熱処理盤の上面から0.2〜0.3mm
の微小突起を採用している。
【0038】そのため、前記被処理基板と前記熱処理盤
との間に作用する真空の真空度を制御することにより前
記被処理基板と前記熱処理盤とを適宜接離させることが
でき、短時間で均一に加熱できるとともに、静電破壊を
防止することができる。
【0039】
【0040】
【0041】
【0042】請求項記載の熱処理装置では、前記貫通
孔を介して前記熱処理盤上面上から出没可能に配設され
たピンと、このピンを駆動する駆動手段とを備えてお
り、このピンを所定のタイミングで作動させることによ
り前記被処理基板と前記熱処理盤とを接離させる。
【0043】そのため、熱処理開始直後の移動する熱量
が大きい時期は前記被処理基板と前記熱処理盤とを接触
させる一方、被処理基板への熱量の移動量が低下した後
は前記被処理基板と前記熱処理盤とを離間させることが
できる。
【0044】従って、短時間で均一に加熱できるととも
に、静電破壊を防止することができる。
【0045】請求項記載の熱処理装置では、前記貫通
孔を介して前記熱処理盤上面上から出没可能に配設され
たピンと、このピンを駆動する圧電素子と、この圧電素
子へ駆動電圧を供給する電圧供給系とを備えており、こ
のピンを所定のタイミングで作動させることにより前記
被処理基板と前記熱処理盤とを接離させる。
【0046】そのため、熱処理開始直後の移動する熱量
が大きい時期は前記被処理基板と前記熱処理盤とを接触
させる一方、被処理基板への熱量の移動量が低下した後
は前記被処理基板と前記熱処理盤とを離間させることが
できる。
【0047】従って、短時間で均一に加熱できるととも
に、静電破壊を防止することができる。
【0048】
【0049】
【0050】
【0051】
【0052】請求項記載の熱処理方法では、被処理基
板と熱処理盤との間に負圧を作用させて被処理基板と熱
処理盤とを接触させ、熱処理盤から被処理基板へ熱量を
移動しやすくし、被処理基板の温度を所定の温度にす
る。しかる後、前記被処理基板と熱処理盤とを微小距離
だけ離間させて、静電気の発生を防止する。
【0053】そのため、被処理基板を短時間で均一に熱
処理温度にするとともに、静電破壊を防止することがで
きる。
【0054】
【0055】
【0056】
【0057】
【0058】
【0059】
【発明の実施の形態】以下、本発明の実施形態の詳細を
図面に従って説明する。
【0060】(第1の実施形態)図1は本発明の一実施
形態に係る塗布・現像装置の斜視図であり、図2はその
平面図である。
【0061】塗布・現像装置1は、その一端側にカセッ
トステーションC/Sを備えている。
【0062】また、塗布・現像装置1の他端側には、露
光装置(図示せず)との間でLCD用ガラス基板G(以
下、LCD用ガラス基板を「基板」と略記する。)の受
け渡しを行うためのインターフェースユニットI/Fが
配置されている。
【0063】このカセットステーションC/SにはLC
D用基板等の基板Gを収容した複数、例えば4組のカセ
ット2が載置されている。カセットステーションC/S
のカセット2の正面側には、被処理基板である基板Gの
搬送及び位置決めを行うとともに、基板Gを保持してメ
インアーム3との間で受け渡しを行うための補助アーム
4が設けられている。
【0064】インターフェースユニットI/Fには、露
光装置(図示せず)との間で基板Gの受け渡しを行う補
助アーム5が設けられている。また、インターフェース
ユニットI/Fには、メインアーム3との間で基板Gの
受け渡しを行うためのエクステンション部6及び基板G
を一旦待機させるバッファユニット7が配置されてい
る。
【0065】メインアーム3は、塗布・現像装置1の中
央部を長手方向に移動可能に、二基直列に配置されてお
り、各メインアーム3の搬送路の両側にはそれぞれ第1
の処理ユニット群A、第2の処理ユニット群Bが配置さ
れている。第1の処理ユニット群Aと第2の処理ユニッ
ト群Bとの間には、基板Gを一旦保持するとともに冷却
する中継部8が配置されている。
【0066】第1の処理ユニット群Aでは、カセットス
テーションC/Sの側方に基板Gを洗浄する洗浄処理ユ
ニットSCRと現像処理を行う現像処理ユニットDEV
とが並設されている。また、メインアーム3の搬送路を
挟んで洗浄処理ユニットSCR及び現像処理ユニットD
EVの反対側には、上下に2段配置された2組の熱処理
ユニットHPと、上下に2段配置されたUV処理ユニッ
トUV及び冷却ユニットCOLとが隣り合うように配置
されている。
【0067】第2の処理ユニット群Bでは、レジスト塗
布処理及びエッジリムーブ処理を行う塗布処理ユニット
COTが配置されている。また、メインアーム3の搬送
路を挟んで塗布処理ユニットCOTの反対側には、上下
に2段配置された基板Gを疎水処埋するアドヒージョン
ユニットAD及び冷却ユニットCOLと、上下に2段配
置された熱処理ユニットHP及び冷却ユニットCOL
と、上下に2段配置された2組の熱処理ユニットHPと
が隣り合うように配置されている。熱処理ユニットHP
と冷却ユニットCOLとを上下に2段配置する場合、熱
処理ユニットHPを上に冷却ユニットCOLを下に配置
することによって、相互の熱的干渉を避けている。これ
により、より正確な温度制御が可能となる。
【0068】メインアーム3は、X軸駆動機構,Y軸駆
動機構およびZ軸駆動機構を備えており、更に、Z軸を
中心に回転する回転駆動機構をそれぞれ備えている。こ
のメインアーム3が塗布・現像装置1の中央通路に沿っ
て適宜走行して、各処理ユニット間で基坂Gを搬送す
る。そして、メインアーム3は、各処理ユニット内に処
理前の基板Gを搬入し、また、各処理ユニット内から処
理済の基板Gを搬出する。
【0069】本実施形態の塗布・現像装置1では、この
ように各処理ユニットを集約して一体化することによ
り、省スペース化およぴ処理の効率化を図ることができ
る。
【0070】このように構成される塗布・現像装置1に
おいては、まずカセット2内の基板Gが、補助アーム4
及びメインアーム3を介して洗浄処理ユニットSCRへ
搬送されて洗浄処理される。
【0071】次に、メインアーム3、中継部8及びメイ
ンアーム3を介してアドヒージョンユニットADへ搬送
されて疎水化処理される。これにより、レジストの定着
性が高められる。
【0072】次に、メインアーム3を介して冷却ユニッ
トCOLへ搬送されて冷却される。その後、メインアー
ム3を介して塗布処理ユニットCOTへ搬送されてレジ
ストが塗布される。
【0073】次に、基板Gは、メインアーム3を介して
加熱処理ユニットHPへ搬送されてプリベーク処理され
る。そして、メインアーム3を介して冷却ユニットCO
Lへ搬送されて冷却された後、メインアーム3及びイン
ターフェース部I/Fを介して露光装置に搬送されてそ
こで所定のパターンが露光される。
【0074】そして、再び露光された基板Gは、インタ
ーフェース部I/Fを介して装置1内へ搬入され、メイ
ンアーム3を介して加熱処理ユニットHPへ搬送されて
ポストエクスポージャーベーク処理が施される。
【0075】その後、基板Gは、メインアーム3、中継
部8及びメインアーム3を介して冷却ユニットCOLへ
搬入されて冷却される。そして、基板Gは、メインアー
ム3を介して現像処理ユニットDEVへ搬入されて現像
処理され、所定の回路パターンが形成される。現像処理
された基板Gは、メインアーム3を介してポストベーク
処理ユニットHPへ搬入されてポストベーク処理され
る。
【0076】そして、ポストベーク処理された基板G
は、メインアーム3及び補助アーム4を介してカセット
ステーションC/S上の所定のカセット2に収容され
る。
【0077】次に、図3及び図4につき、ベーキングユ
ニット(PREBAKE)、(PEB)、クーリングユ
ニット(COL)、(EXTCOL)のような熱処理ユ
ニットの構成および作用を説明する。
【0078】図3および図4は、本実施形態に係る熱処
理ユニットの構成を示す平面図および断面図である。な
お、図4では、図解のために水平遮蔽板55を省略して
ある。
【0079】この熱処理ユニットの処理室50は両側壁
53と水平遮蔽板55とで形成され、処理室50の正面
側(主ウエハ搬送機構24側)および背面側はそれぞれ
開口部50A,50Bとなっている。遮蔽板55の中心
部には方形の開口56が形成され、この開口56内には
同じく方形状の熱処理盤58が載置台として設けられ
る。 熱処理盤58には例えば4つの孔60が設けら
れ、各孔60内にはリフトピン62が遊嵌状態で挿通さ
れており、基板Gのローディング・アンローディング時
には各リフトピン62が熱処理盤58の表面より上に突
出または上昇して主搬送機構22の保持部材48との間
で基板Gの受け渡しを行うようになっている。
【0080】熱処理盤58の外周囲には、円周方向にた
とえば2゜間隔で多数の通気孔64を形成した方形枠状
の帯板からなるシャッタ66が設けられている。このシ
ャッタ66は、通常は熱処理盤58より下の位置に退避
しているが、加熱処理時には熱処理盤58の上面よりも
高い位置まで上昇して、熱処理盤58とカバー体68と
の間に方形枠状の側壁を形成し、図示しない気体供給系
より送り込まれるダウンフローの空気や窒素ガス等の不
活性ガスを通気孔64より周方向で均等に流入させるよ
うになっている。
【0081】カバー体68の中心部には加熱処理時に基
板G表面から発生するガスを排出するための排気口68
aが設けられ、この排気口68aに排気管70が接続さ
れている。この排気管70は、装置正面側(主ウエハ搬
送機構22側)のダクト53(もしくは54)または図
示しないダクトに通じている。
【0082】遮蔽板55の下には、遮蔽板55、両側壁
53および底板72によって機械室74が形成されてお
り、室内には熱処理盤支持板76、シャッタアーム7
8、支持ピンアーム80、シャッタアーム昇降駆動用シ
リンダ82、リフトピンアーム昇降駆動用シリンダ84
が設けられている。
【0083】図4に示すように、基板Gの外周縁部が載
るべき熱処理盤58の表面位置に複数個たとえば4個の
基板G案内支持突起部86が設けられている。
【0084】図3に示したように、カバー体68の下面
側には円錐形の凹部68bが形成されており、この円錐
の頂点にあたる部分には排気口68aが設けられ、この
排気口68aに排気管70の下端が接続されている。排
気管70の他端側は図示しない排気系に接続されてお
り、熱処理盤58で加熱されて上昇した加熱気体が円錐
形の凹部68bで集められ、前記排気口68aと排気管
70とを介して排気されるようになっている。
【0085】図5は本実施形態に係る熱処理盤58とそ
の周辺の構造を模式的に示した垂直断面図である。
【0086】この図5に示すように、熱処理盤58の内
部には、例えばニクロム線などの発熱体で構成されたヒ
ータHが配設されており、このヒータHに電力を供給す
ることにより発熱させ、熱処理盤58を加熱する。
【0087】図5に示すように、このヒータHは制御部
100に接続されている。また熱処理盤58には、熱処
理盤58の温度を検出するセンサ(図示省略)が配設さ
れており、このセンサで検出した温度を制御部100に
送るようになっている。制御部100はセンサで検出し
た熱処理盤58の温度に基づいて、ヒータHへの供給電
力量を加減することによりヒータHの発熱量を制御し、
熱処理盤58の温度を制御するようになっている。
【0088】図5に示すように、熱処理盤58の上下方
向には貫通孔90が配設されている。この貫通孔90は
熱処理盤58上に基板Gが載置されたときにこのガラス
基板を吸着するためのものである。この貫通孔90の図
中下端側には配管91が接続されており、この配管の先
には真空ポンプ92が接続されている。この真空ポンプ
92は上記の制御部100と接続されており、制御部1
00により制御されている。従って、この真空ポンプ9
2を作動させることにより配管91内に負圧が生じ、ひ
いては熱処理盤58の上面と基板Gの下面との間に形成
される空間に負圧が生じるようになっている。
【0089】更に、この熱処理盤58の上面には「プロ
キシミティピン」(proximity-pin)と呼ばれる突起状
のピン93,93,…が複数個配設されている。
【0090】このプロキシミティピン(以下、この「プ
ロキシミティピン」を単に「ピン」という。)93,9
3,…は熱処理盤58上面とこの熱処理盤58上に載置
される基板Gの下面との間に僅かな間隙を形成し、基板
Gと熱処理盤58との間に摩擦が生じて基板G上に静電
破壊が起こるのを防止するためのものである。
【0091】このピンの高さは、上記真空ポンプ92を
作動させない状態では基板Gはピン93,93…の頂点
で支持されてほぼ水平に維持され、基板Gの下面と熱処
理盤58の上面との間に間隙が形成されるような高さで
ある。そして、上記真空ポンプ92を作動させて熱処理
盤58上面と基板G下面との間に負圧を作用させたとき
に基板Gが湾曲して隣接するピン93とピン93との間
の部分が熱処理盤58の上面と直接接触するような高さ
である。
【0092】この高さはピン93,93…の配置の仕方
や、隣接する二つのピン93の間隔、或いは基板Gの剛
性や厚さなどにより適宜定められるのであるが、一般的
には0.2mm〜0.3mmの範囲とするのが好まし
い。
【0093】ここで、ピンの高さを0.2mm〜0.3
mmの範囲としたのは、このピンの高さが0.2mm未
満であると、基板及び熱処理盤に接触する面積が増大
し、摩擦による帯電を抑えることができない、という問
題が生じるためである。
【0094】また、このピンの高さが0.3mmを越え
ると、基板が低いバキューム圧で熱処理盤に吸着できな
くなるため、基板と熱処理盤との間の熱交換が素早く行
えなくなるという問題が生じ、特に周辺部でのバキュー
ム吸着ができなくなる、という問題が生じるためであ
る。
【0095】なお、本実施形態ではピン93の高さは
0.2mmとした。
【0096】また、このピン93,93…の配置は、上
記真空ポンプ92を作動させない状態では基板Gはピン
93,93…の頂点で支持されてほぼ水平に維持され、
基板Gの下面と熱処理盤58の上面との間に間隙が形成
されるような配置である。そして、上記真空ポンプ92
を作動させて熱処理盤58上面と基板G下面との間に負
圧を作用させたときに基板Gが湾曲して隣接するピン9
3とピン93との間の部分が熱処理盤58の上面と直接
接触するような配置である。
【0097】なお、本実施形態ではピン93,93…の
配置は図6に示すように熱処理盤58のうち、載置する
基板Gの縦横各辺の中点を頂点とする菱形を形成するよ
うな配置であり、この菱形の中心と各辺の中点の合計9
箇所に配設した。
【0098】次に、本実施形態に係る熱処理ユニット
(HP)の動作について説明する。
【0099】塗布処理ユニット(COT)や現像処理ユ
ニットDEVなどで前処理が完了すると、各処理ユニッ
ト内にメインアーム3がアクセスして中に収容されてい
る基板Gを取り出す。この基板Gを保持した状態でメイ
ンアーム3は中央の通路を移動して熱処理ユニット(H
P)の前で停止する。ここでメインアーム3は展開して
熱処理ユニット(HP)の正面を向く。ついでメインア
ーム3は基板保持部材を伸長させて熱処理ユニット(H
P)内の熱処理盤58の真上の位置まで基板Gを搬送す
る。一方、熱処理ユニット(HP)内では、リフトピン
62,62…を上方に移動させて熱処理盤58の上面よ
り高い部分にまで突出させる。このとき、リフトピン6
2,62…の先端はメインアーム3の先に載置された基
板Gより高い位置まで上方に移動する。そのため基板G
はこのリフトピン62,62…により持ち上げられ、メ
インアーム3からリフトピン62,62…へと基板Gが
受け渡される。
【0100】この状態でメインアーム3は引き込み、熱
処理ユニット(HP)の外へ移動する。次いで、リフト
ピン62,62…が下降するのにつれて基板Gも下降
し、熱処理盤58の上面に接近する。更にリフトピン6
2,62…は下降して熱処理盤58上面より低い位置ま
で下降すると、基板Gは熱処理盤58上に載置される。
図7は基板Gが熱処理盤58に載置された状態を示した
垂直断面図である。
【0101】この図7に示したように、リフトピン6
2,62…を熱処理盤58上面より低い位置まで下降す
ると、基板Gの下面は熱処理盤58上面上に設けられた
ピン93,93…の頂部に当接し、このピン93,93
…の頂部で支持されるので、これより低い位置までは下
降しない。そのため、基板Gの下面と熱処理盤58上面
との間には僅かな隙間が形成され、基板Gの下面の大部
分は熱処理盤58の上面と非接触の状態に保たれる。
【0102】次にこの基板Gが熱処理盤58の熱により
熱処理が施されるときの状態について説明する。
【0103】図10は基板Gが熱処理盤58上で熱処理
されるときのタイミングチャートを示した図であり、図
8,図9は熱処理される際の基板Gの状態を示した垂直
断面図である。
【0104】図10に示したように、時間t1 でメイン
アーム3からリフトピン62,62…へと基板Gが引き
渡される。メインアーム3が後退した後、リフトピン6
2,62…は下降して基板Gと熱処理盤58との間を4
mmに維持し、時間t2 になるまでの50秒間この状態
を維持する。このt1 〜t2 の時間で基板Gを予備的に
加熱する。
【0105】次いで時間t2 になるとリフトピン62,
62…を更に下降させて熱処理盤58内に収容して基板
Gを熱処理盤58のピン92,92…上に載置する。そ
れと同時に、真空配管91に真空を作用させて基板Gと
熱処理盤58との間の空間の空気を抜く。このときの状
態を示したのが図8である。
【0106】図8に示したように、基板Gと熱処理盤5
8との間の空間に真空が作用すると、基板Gの上方から
下方に向けて大気圧がかかる。そのため基板Gは隣接す
る二つのピン92,92に挟まれた部分が下方に湾曲
し、湾曲した底の部分が熱処理盤58の上面と直接接触
する。この直接接触することにより熱処理盤58から基
板Gへ直接熱量が移動するので、短時間でしかも大量の
熱量が移動する。
【0107】この真空引きの状態はt2 〜t3 の10秒
間継続される。従って、このt2 〜t3 の間は基板Gと
熱処理盤58とが直接接触した状態が維持される。
【0108】時間t3 になると真空引きは停止される
が、リフトピン62,62…は熱処理盤58より低い位
置に維持される。この状態が時間t4 まで維持される。
【0109】従って、時間t3 以降は真空により基板G
を湾曲させて熱処理盤58と接触させる力が弱くなる。
基板Gは自己の剛性により湾曲を解消し水平状態に戻ろ
うとする。また、基板Gと熱処理盤58との間に少しず
つ空気が流れ込む。
【0110】そのため、基板Gと熱処理盤58とは時間
3 から時間t4 にかけて除序に離間する。一方、熱処
理盤58からは間の空気層を介して基板Gへ熱量が供給
されるので、この時間t3 〜t4 の間も熱処理は継続し
て行われる。
【0111】時間t4 になるとリフトピン62,62…
が上方に突出して基板Gを持ち上げる。こうして基板G
は熱処理盤58から引き離され、基板Gの熱処理が完了
する。
【0112】この持ち上げられた基板Gは上記熱処理ユ
ニットへの搬入と逆の工程を経てメインアーム3に引き
渡され、後続の処理を行うユニットへ向けて搬送され
る。
【0113】このように、本実施形態に係る熱処理ユニ
ットでは、熱処理工程の初期には基板Gと熱処理盤58
とを直接接触させるので、基板Gを短時間で均一に加熱
することができる。
【0114】一方、熱処理工程の後半では基板Gと熱処
理盤58とを離間させたのち、極めて近い位置に維持し
て熱処理を行うので、静電気の発生による基板Gの静電
破壊が防止される。特に、真空引きしたあと、基板Gの
剛性を利用して除序に基板Gと熱処理盤58とが離間す
るようにしているので、基板Gが熱処理盤58に対して
水平方向に移動する移動速度が遅く、摩擦による静電気
の発生が起きにくい。そのため、より一層静電破壊を確
実に防止することができるようになっている。なお、本
発明は上記実施形態に限定されない。
【0115】例えば、上記実施形態では、基板Gを加熱
処理するための熱処理盤58を例にして説明したが、本
発明は冷却装置にも適用できる。
【0116】また、上記実施形態ではニクロム線などの
発熱体を用いて直接熱処理盤58を加熱する構造の熱処
理ユニットについて説明したが、密閉した空洞内に熱媒
蒸気が循環するようになっており、この空洞の底に液化
した熱媒をヒータで加熱し、この熱媒蒸気を介して熱処
理盤全体を均一に加熱できるようにした、いわゆる熱定
盤と呼ばれる熱処理盤を用いることも可能である。
【0117】更に、上記実施形態ではピン92,92…
は熱処理盤58上面に菱形を描くような位置に配設した
ものを用いたが、ピン92,92…の配設位置は、基板
Gと熱処理盤58との間の空間に作用させる真空の真空
度を上昇させたときに基板Gが熱処理盤58と接触し、
真空度を低下させたときに基板Gと前記熱処理盤58と
が離間するような位置であれば良い。従って、例えば図
11に示したように、熱処理盤58上面に、載置される
基板Gの四隅と各辺の中点に対応する位置に配設するこ
とも可能である。
【0118】また、上記実施形態ではLCD用基板Gを
熱処理するための熱処理ユニットについて説明したが、
シリコンウエハ用の熱処理ユニットについても適用でき
ることはいうまでもない。
【0119】次に、本実施形態に係る熱処理ユニットの
効果を確認するために行った測定について説明する。
【0120】基板Gに熱処理する際の静電気の発生状況
と基板温度の均一性を調査するために下記の測定を行っ
た。
【0121】まず、基板温度の均一性を測定する条件と
して、温度23.5°C、湿度59%の環境で行った。
熱処理(postbake)の条件としては、熱処理盤の設定温
度を139°Cとし、リフトピンの突出高さを4mmで
50秒間維持し、その後リフトピンの突出高さを0mm
まで降下させ、この状態で110秒間維持した。なお、
この測定のタイミングチャートは図10と同じである。
【0122】また、熱処理盤の上面に配設したピンのギ
ャップ、即ち熱処理盤の上面からピンの頂点までの高さ
は0.2mmとし、ピンの配置位置は図6に示したよう
な菱形を形成する9箇所とした。熱処理盤上に基板Gを
吸着させる際の真空ポンプの真空度は真空ポンプの元圧
で5kPaであり、センサーで検出した真空度は3.2
〜4.8kPaであった。
【0123】測定試料としては、Cr膜を形成したも
の、素ガラス、及びSiNx膜を形成したものの3種類
の基板を用いた。これらの基板にK型熱電対を使用し、
ポリイミドテープにより付着させ、ADVANTEST 社製の型
式R7430 DATA LOGER測定装置にて測定を行った。測定箇
所としては図12に示したような基板面内の9点につい
て測定した。結果を図13〜図18に示した。
【0124】図13はCr膜を形成した基板にピンを介
して加熱処理した際の昇温状態を示した図であり、図1
4は同基板を加熱初期の10秒間真空吸着して加熱処理
した際の昇温状態を示した図である。
【0125】以下同様に、図15は素ガラスの基板を非
接触のまま加熱処理した際の昇温状態を示した図であ
り、図16は同基板を加熱初期真空吸着して加熱処理し
た際の昇温状態を示した図である。
【0126】図17はSiNx膜を形成した基板を非接
触のまま加熱処理した際の昇温状態を示した図であり、
図18は同基板を加熱初期真空吸着して加熱処理した際
の昇温状態を示した図である。
【0127】図13と図14とを比較すると、図13で
は時間80秒から140秒にかけて除序に昇温している
のに対し、図14では時間90秒の時点で各測定点の温
度が130°C以上に達し、その後は180秒まで高温
域で安定している。このことから初期の時点で真空吸着
した方が、昇温速度、温度均一性共に高いことが分か
る。
【0128】図15と図16とを比較すると、実質的な
差異は殆ど見られない。このことから、初期の真空吸着
の有無は素ガラス自体に対しては殆ど影響がないことが
分かる。
【0129】図17と図18とを比較すると、図17で
は時間90秒付近で各測定点の温度上昇がおさまり、時
間120秒にかけて除序に温度安定域に入っている。こ
れに対し、図18では時間80秒付近で各測定点の温度
上昇がおさまり、時間90秒の時点で既に温度安定域に
入っている。このことから初期の時点で真空吸着した方
が、昇温速度、温度均一性共に高いことが分かる。
【0130】次に、基板に発生する静電気について測定
した。
【0131】静電気測定については、試料としてCr膜
を形成した基板を使用し、温度23.5°C、湿度59
%の環境で測定した。測定装置としてはHugle 社製の型
式MODEL720表面電位計を使用し、基板の中心の
1点について測定した。
【0132】測定条件としては、9個のピンを配設した
熱処理盤上に基板を載置した状態で、熱処理の初期1
5秒間、−9.2kpaで真空吸着した後、除電処理を
施したもの、熱処理の初期15秒間、−9.2kpa
で真空吸着したもの、及び熱処理工程する全期間、−
62.4kpaで真空吸着したもの、の3つについて測
定した。
【0133】図19は上記〜の処理後に測定した基
板電位を示したグラフである。
【0134】この図19に示すように、基板と熱処理盤
とを終始接触させた状態で熱処理したの基板電位が−
8〜−14kVと高電位に摩擦帯電しているのに対し、
初期だけ真空吸着させたとでは0〜−2kVと摩擦
帯電量が極めて低いことが分かる。
【0135】(第2の実施形態)以下、本発明の第2の
実施形態について説明する。なお、上記第1の実施形態
と重複する部分については説明を省略する。
【0136】図20及び図21は本実施形態に係る熱処
理ユニットの熱処理盤58に基板Gを載置した状態を部
分的に拡大した垂直断面図である。
【0137】本実施形態の熱処理ユニットでは、熱処理
盤58を貫通する貫通孔を介して垂直方向に移動するリ
フターLを配設し、このリフターLを上下動させること
により基板Gと熱処理盤58とを接離するようにした。
【0138】即ち、熱処理工程開始直後の基板Gの温度
と処理温度との差が大きい間は図20のようにリフター
Lは熱処理盤58の上面より低いに位置に待機させてお
く。基板Gの温度がほぼ処理温度に到達した後は図21
のようにリフターLを上方に移動させ、熱処理盤58の
上面から適当な距離、例えば0.2〜0.3mm突出さ
せる。このようにすることにより、基板Gの迅速で均一
な熱処理を可能にすると同時に、基板Gの静電破壊を未
然に防止することができる。
【0139】本実施形態の熱処理ユニットでは、リフタ
ーLを用いて基板Gと熱処理盤58とを接離させるの
で、この接離させる動作を確実に行うことができる。特
に接触させる時間、離間させる時間を正確に制御できる
ので、高精度の管理が可能である。更に、基板Gを撓ま
せることがないので、余計なストレスを与えることがな
く、基板Gの品質を損なう恐れがないという利点があ
る。
【0140】なお、本実施形態で使用するリフターLと
して、リフトピン62,62…を利用してこれを微小距
離だけ移動させることにより基板Gと熱処理盤58とを
接離させることも可能である。
【0141】(第3の実施形態)以下、本発明の第3の
実施形態について説明する。なお、上記第1、第2の実
施形態と重複する部分については説明を省略する。
【0142】図22は本実施形態に係る熱処理盤58の
平面図であり、図23及び図24は本実施形態に係る熱
処理ユニットの熱処理盤58に基板Gを載置した状態を
部分的に拡大した垂直断面図である。
【0143】本実施形態の熱処理ユニットでは、熱処理
盤58の上面上の適当な位置、例えば熱処理盤58上に
載置した基板Gの四隅と各辺の中点と対角線の交点の9
箇所に円柱型の凹部を設けた。そして、この凹部にちょ
うど嵌まり込む形の円柱型の圧電素子を利用した変形ピ
ン93´を配設し、外部からこの変形ピン93´に駆動
電圧を供給できるように配線した。
【0144】本実施形態の熱処理ユニットでは、上記第
2の実施形態のリフターLの代わりにこの変形ピン93
´を用い、この変形ピン93´,93´…に電圧を印加
させて上下動させることにより基板Gと熱処理盤58と
を接離するようにした。
【0145】即ち、熱処理工程開始直後の基板Gの温度
と処理温度との差が大きい間は図23のように変形ピン
93´,93´…へは電圧を印加せず、変形ピン93
´,93´…を変形させることなく熱処理盤58の上面
より低いに位置に待機させておく。
【0146】基板Gの温度がほぼ処理温度に到達した後
は図24のように電圧を印加して変形ピンを変形させて
上方に伸長させ、熱処理盤58の上面から適当な距離、
例えば0.2〜0.3mm突出させる。このようにする
ことにより、基板Gの迅速で均一な熱処理を可能にする
と同時に、基板Gの静電破壊を未然に防止することがで
きる。
【0147】本実施形態の熱処理ユニットでは、圧電素
子を利用した変形ピン93´,93´…を用いて基板G
と熱処理盤58とを接離させるので、この接離させる動
作を確実に行うことができる。特に接触させる時間、離
間させる時間を正確に制御できるので、高精度の管理が
可能である。更に、基板Gを撓ませることがないので、
余計なストレスを与えることがなく、基板Gの品質を損
なう恐れがないという利点がある。
【0148】また、構造が簡単で製造コストを大幅に増
大させることもないという利点もある。
【0149】
【0150】
【0151】
【発明の効果】本発明によれば、前記真空の強さを制御
することにより所定のタイミングで前記被処理基板と前
記熱処理盤とを接離させることができる。
【0152】即ち、熱処理開始直後の移動する熱量が大
きい時期は真空の強さを大きくして前記被処理基板と前
記熱処理盤とを接触させる。その一方、被処理基板への
熱量の移動量が低下した後は前記真空の強さを小さくす
る。すると被処理基板は自らの剛性で真っ直ぐに戻ろう
とするため、前記被処理基板と前記熱処理盤とが離間す
る。そのため、短時間で均一に加熱できるとともに、静
電破壊を防止することができる。
【0153】本発明によれば、前記微小突起が適当な位
置と高さに形成されている。そのため、前記真空度を上
昇させたときに前記被処理基板が前記熱処理盤と接触
し、前記真空度を低下させたときに前記被処理基板と前
記熱処理盤とが離間する。
【0154】従って、熱処理開始直後の移動する熱量が
大きい時期は真空度を高くして熱処理盤から被処理基板
への熱量の移動を促進する。その一方、被処理基板への
熱量の移動量が低下した後は前記真空度を低くして静電
気の発生を防止する。
【0155】そのため、短時間で均一に加熱できるとと
もに、静電破壊を防止することができる。
【0156】請求項記載の発明によれば、前記微小突
起が、前記熱処理盤上に載置された被処理基板の各辺の
中点を結ぶ菱形を形成する位置に配設されている。
【0157】そのため、前記被処理基板と前記熱処理盤
との間に作用する真空の真空度を制御することにより前
記被処理基板と前記熱処理盤とを適宜接離させることが
でき、短時間で均一に加熱できるとともに、静電破壊を
防止することができる。
【0158】請求項記載の発明によれば、前記微小突
起が、前記熱処理盤上に載置された被処理基板の四隅と
各辺の中点の位置に配設されている。
【0159】そのため、前記被処理基板と前記熱処理盤
との間に作用する真空の真空度を制御することにより前
記被処理基板と前記熱処理盤とを適宜接離させることが
でき、短時間で均一に加熱できるとともに、静電破壊を
防止することができる。
【0160】請求項記載の発明によれば、請求項1又
は2記載の熱処理装置において、前記微小突起として、
高さが前記熱処理盤の上面から0.2〜0.3mmの微
小突起を採用している。
【0161】そのため、前記被処理基板と前記熱処理盤
との間に作用する真空の真空度を制御することにより前
記被処理基板と前記熱処理盤とを適宜接離させることが
でき、短時間で均一に加熱できるとともに、静電破壊を
防止することができる。
【0162】
【0163】
【0164】
【0165】請求項記載の発明によれば、前記貫通孔
を介して前記熱処理盤上面上から出没可能に配設された
ピンと、このピンを駆動する駆動手段とを備えており、
このピンを所定のタイミングで作動させることにより前
記被処理基板と前記熱処理盤とを接離させる。
【0166】そのため、熱処理開始直後の移動する熱量
が大きい時期は前記被処理基板と前記熱処理盤とを接触
させる一方、被処理基板への熱量の移動量が低下した後
は前記被処理基板と前記熱処理盤とを離間させることが
できる。
【0167】従って、短時間で均一に加熱できるととも
に、静電破壊を防止することができる。
【0168】請求項記載の発明によれば、前記貫通孔
を介して前記熱処理盤上面上から出没可能に配設された
ピンと、このピンを駆動する圧電素子と、この圧電素子
へ駆動電圧を供給する電圧供給系とを備えており、この
ピンを所定のタイミングで作動させることにより前記被
処理基板と前記熱処理盤とを接離させる。
【0169】そのため、熱処理開始直後の移動する熱量
が大きい時期は前記被処理基板と前記熱処理盤とを接触
させる一方、被処理基板への熱量の移動量が低下した後
は前記被処理基板と前記熱処理盤とを離間させることが
できる。
【0170】従って、短時間で均一に加熱できるととも
に、静電破壊を防止することができる。
【0171】
【0172】
【0173】
【0174】
【0175】請求項記載の発明によれば、被処理基板
と熱処理盤との間に負圧を作用させて被処理基板と熱処
理盤とを接触させ、熱処理盤から被処理基板へ熱量を移
動しやすくし、被処理基板の温度を所定の温度にする。
しかる後、前記被処理基板と熱処理盤とを微小距離だけ
離間させて、静電気の発生を防止する。
【0176】そのため、被処理基板を短時間で均一に熱
処理温度にするとともに、静電破壊を防止することがで
きる。
【0177】
【0178】
【0179】
【0180】
【0181】
【図面の簡単な説明】
【図1】本発明の実施形態に係る塗布・現像装置の斜視
図である。
【図2】本発明の実施形態に係る塗布・現像装置の平面
図である。
【図3】本発明の実施形態に係る熱処理ユニットの垂直
断面図である。
【図4】本発明の実施形態に係る熱処理ユニットの平面
図である。
【図5】本発明の実施形態に係る熱処理ユニットの垂直
断面図である。
【図6】本発明の実施形態に係る熱処理盤の平面図であ
る。
【図7】本発明の実施形態に係る熱処理ユニットの垂直
断面図である。
【図8】本発明の実施形態に係る熱処理ユニットの垂直
断面図である。
【図9】本発明の実施形態に係る熱処理ユニットの垂直
断面図である。
【図10】本発明の実施形態に係る熱処理ユニットの動
作を示すタイミングチャートである。
【図11】本発明の実施形態に係る熱処理盤の平面図で
ある。
【図12】本発明の実施形態に係る熱処理盤の平面図で
ある。
【図13】基板の昇温経過を示すグラフである。
【図14】基板の昇温経過を示すグラフである。
【図15】基板の昇温経過を示すグラフである。
【図16】基板の昇温経過を示すグラフである。
【図17】基板の昇温経過を示すグラフである。
【図18】基板の昇温経過を示すグラフである。
【図19】基板の帯電状態を示すグラフである。
【図20】本発明の第2の実施形態に係る熱処理盤の垂
直断面図である。
【図21】本発明の第2の実施形態に係る熱処理盤の垂
直断面図である。
【図22】本発明の第3の実施形態に係る熱処理盤の平
面図である。
【図23】本発明の第3の実施形態に係る熱処理盤の垂
直断面図である。
【図24】本発明の第3の実施形態に係る熱処理盤の垂
直断面図である。
【符号の説明】
G 基板 58 熱処理盤 90 貫通孔 91 配管 92 真空ポンプ 93 ピン 100 制御部
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/027

Claims (9)

    (57)【特許請求の範囲】
  1. 【請求項1】 被処理基板を載置する熱処理盤と、 前記熱処理盤上面上に配設された吸着口と、 前記吸着口に真空を供給する真空供給系と、 前記真空供給系の真空度を制御する手段と、 前記熱処理盤上面上に配設された微小突起であって、前
    記真空度を上昇させたときに前記被処理基板が前記熱処
    理盤と接触し、前記真空度を低下させたときに前記被処
    理基板と前記熱処理盤とが離間するような位置及び高さ
    に形成され、かつ、前記熱処理盤上に載置された被処理
    基板の各辺の中点を結ぶ菱形を形成する位置に配設され
    ている微小突起と、 を具備することを特徴とする熱処理装置。
  2. 【請求項2】 被処理基板を載置する熱処理盤と、 前記熱処理盤上面上に配設された吸着口と、 前記吸着口に真空を供給する真空供給系と、 前記真空供給系の真空度を制御する手段と、 前記熱処理盤上面上に配設された微小突起であって、前
    記真空度を上昇させたときに前記被処理基板が前記熱処
    理盤と接触し、前記真空度を低下させたときに前記被処
    理基板と前記熱処理盤とが離間するような位置及び高さ
    に形成され、かつ、前記熱処理盤上に載置された被処理
    基板の四隅と各辺の中点の位置に配設されている微小突
    起と、 を具備することを特徴とする熱処理装置。
  3. 【請求項3】 請求項1又は2記載の熱処理装置であっ
    て、前記微小突起の高さが前記熱処理盤の上面から0.
    2〜0.3mmであることを特徴とする熱処理装置。
  4. 【請求項4】 被処理基板を加熱する熱処理盤と、 前記被処理基板を複数点で支持して前記熱処理盤と前記
    被処理基板とを近接及び/又は離間させる移載手段と、 この移載手段により支持された状態で前記被処理基板を
    前記熱処理盤側に吸引により接触自在に構成された吸引
    手段と、 を具備することを特徴とする熱処理装置。
  5. 【請求項5】 前記吸引手段の吸引量を調節する吸引量
    調節手段を具備し、この吸引量調節手段により前記被処
    理基板を前記熱処理盤に接触させる又は所定の間隔に維
    持して前記被処理基板を処理するように構成されたこと
    を特徴とする請求項に記載の熱処理装置。
  6. 【請求項6】 前記移載手段は、前記熱処理盤上に配設
    された貫通孔を介して前記熱処理盤上面上から出没可能
    に配設されたピンと、このピンを駆動する駆動手段と、
    を具備することを特徴とする請求項4又は5に記載の熱
    処理装置。
  7. 【請求項7】 前記移載手段は、前記熱処理盤上面上か
    ら出没可能に配設されたピンと、このピンを駆動する圧
    電素子と、この圧電素子へ駆動電圧を供給する電圧供給
    系と、を具備することを特徴とする請求項4又は5に記
    載の熱処理装置。
  8. 【請求項8】 被処理基板を加熱又は冷却する熱処理盤
    と、 前記熱処理盤上面上に配設された吸着口と、 前記吸着口に真空を供給する真空供給系と、 前記真空供給系の真空度を制御する手段と、 前記熱処理盤上面上に配設された微小突起であって、前
    記真空度を上昇させたときに前記被処理基板が前記熱処
    理盤と接触し、前記真空度を低下させたときに前記被処
    理基板と前記熱処理盤とが離間するような位置及び高さ
    に形成されている微小突起と、 を具備し、 前記真空度を制御する手段は、 真空を作用させて前記被処理基板と前記熱処理盤との間
    の空気を前記吸着口から抜いて前記被処理基板を湾曲さ
    せ、湾曲した底の部分を前記熱処理盤の上面に直接接触
    させて前記被処理基板と前記熱処理盤との間で熱量を移
    動させ、 その後、真空引きを停止させて前記被処理基板の剛性に
    よる湾曲の解消と、前記被処理基板と前記熱処理盤との
    間の空気の流れ込みとにより前記被処理基板と前記熱処
    理盤とを徐々に離間させ、前記被処理基板と前記熱処理
    盤の間の空気層を介して前記被処理基板と前記熱処理盤
    との間で熱量を移動させるように前記真空系の真空度を
    制御する ことを特徴とする熱処理装置。
  9. 【請求項9】 被処理基板を加熱又は冷却する熱処理方
    法であって、 前記 被処理基板と所定位置に微小突起を備えた熱処理盤
    との間に負圧を作用させて前記被処理基板と前記熱処理
    盤とを接触させて前記被処理基板と前記熱処理盤との間
    で熱量を移動させる工程と、その後、 前記負圧を低下させて前記被処理基板と前記熱
    処理盤とを微小距離離間させて前記被処理基板と前記熱
    処理盤との間の空気を介して前記被処理基板と前記熱処
    理盤との間で熱量を移動させる工程と、 を具備することを特徴とする熱処理方法。
JP17911498A 1998-06-25 1998-06-25 熱処理装置及び熱処理方法 Expired - Fee Related JP3333135B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP17911498A JP3333135B2 (ja) 1998-06-25 1998-06-25 熱処理装置及び熱処理方法
US09/338,231 US6129546A (en) 1998-06-25 1999-06-22 Heat process apparatus and heat process method
TW088110643A TW417185B (en) 1998-06-25 1999-06-24 Heat process apparatus and heat process method
KR10-1999-0024254A KR100467916B1 (ko) 1998-06-25 1999-06-25 열처리장치 및 열처리방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17911498A JP3333135B2 (ja) 1998-06-25 1998-06-25 熱処理装置及び熱処理方法

Publications (2)

Publication Number Publication Date
JP2000012447A JP2000012447A (ja) 2000-01-14
JP3333135B2 true JP3333135B2 (ja) 2002-10-07

Family

ID=16060265

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17911498A Expired - Fee Related JP3333135B2 (ja) 1998-06-25 1998-06-25 熱処理装置及び熱処理方法

Country Status (4)

Country Link
US (1) US6129546A (ja)
JP (1) JP3333135B2 (ja)
KR (1) KR100467916B1 (ja)
TW (1) TW417185B (ja)

Families Citing this family (341)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4343326B2 (ja) * 1999-05-14 2009-10-14 キヤノン株式会社 基板搬送装置および露光装置
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US7341641B2 (en) * 2002-03-20 2008-03-11 Lg.Philips Lcd Co., Ltd. Bonding device for manufacturing liquid crystal display device
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
KR100992803B1 (ko) * 2002-07-25 2010-11-09 도쿄엘렉트론가부시키가이샤 기판 처리 용기
US7452712B2 (en) * 2002-07-30 2008-11-18 Applied Biosystems Inc. Sample block apparatus and method of maintaining a microcard on a sample block
KR100574058B1 (ko) * 2004-08-20 2006-04-27 삼성전자주식회사 웨이퍼 베이크 장치
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7396412B2 (en) 2004-12-22 2008-07-08 Sokudo Co., Ltd. Coat/develop module with shared dispense
KR101089096B1 (ko) * 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
JP4421501B2 (ja) * 2005-03-25 2010-02-24 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
KR101316769B1 (ko) 2005-04-01 2013-10-15 티이엘 에프에스아이, 인코포레이티드 하나 이상의 처리 유체를 이용하여 마이크로일렉트로닉 워크피이스를 처리하는데 이용되는 장치용 배리어 구조 및 노즐 장치
KR100922801B1 (ko) * 2005-06-20 2009-10-21 엘지디스플레이 주식회사 액정표시장치의 제조 장치 및 액정표시장치 제조 방법과 이를 위한 액정표시장치 제조 공정용 경화 장치
US8003919B2 (en) * 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP2007158077A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP4781867B2 (ja) * 2006-03-23 2011-09-28 大日本スクリーン製造株式会社 熱処理装置
WO2008008154A2 (en) 2006-07-07 2008-01-17 Fsi International, Inc. Barrier structure and nozzle device for use in tools used to process microelectronic workpieces with one or more treatment fluids
WO2009020524A1 (en) * 2007-08-07 2009-02-12 Fsi International, Inc. Rinsing methodologies for barrier plate and venturi containment systems in tools used to process microelectronic workpieces with one or more treatment fluids, and related apparatuses
US7900579B2 (en) * 2007-09-26 2011-03-08 Tokyo Electron Limited Heat treatment method wherein the substrate holder is composed of two holder constituting bodies that move relative to each other
WO2009137032A2 (en) 2008-05-09 2009-11-12 Fsi International, Inc. Tools and methods for processing microelectronic workpieces using process chamber designs that easily transition between open and closed modes of operation
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2010147503A (ja) 2010-03-15 2010-07-01 Canon Anelva Corp 基板搭載装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7473147B2 (ja) * 2019-09-13 2024-04-23 株式会社九州日昌 加熱装置および加熱方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
CN112518323A (zh) * 2020-11-25 2021-03-19 武汉耀皮康桥汽车玻璃有限公司 一种汽车后挡风玻璃加工装置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240043474A (ko) 2022-09-27 2024-04-03 세메스 주식회사 열 처리 장치, 그 동작 방법, 및 포토 스피너 설비
KR20240105098A (ko) 2022-12-28 2024-07-05 세메스 주식회사 열 처리 장치 및 이의 제조방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2889926B2 (ja) * 1989-10-20 1999-05-10 東京エレクトロン株式会社 基板の加熱処理方法及び加熱処理装置
JP2806650B2 (ja) * 1991-08-19 1998-09-30 東京エレクトロン株式会社 温度調整装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5738165A (en) * 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
JP3052116B2 (ja) * 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置

Also Published As

Publication number Publication date
KR20000006469A (ko) 2000-01-25
US6129546A (en) 2000-10-10
KR100467916B1 (ko) 2005-01-24
JP2000012447A (ja) 2000-01-14
TW417185B (en) 2001-01-01

Similar Documents

Publication Publication Date Title
JP3333135B2 (ja) 熱処理装置及び熱処理方法
US8138456B2 (en) Heat processing method, computer-readable storage medium, and heat processing apparatus
JP3028462B2 (ja) 熱処理装置
US7987019B2 (en) Substrate transfer method and substrate transfer apparatus
JP5296022B2 (ja) 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
JP2007329008A (ja) 熱板及びその製造方法
JP3888620B2 (ja) 基板搬送装置における基板の受け渡し位置検知方法及びその教示装置
WO2006085489A1 (ja) 加熱処理装置及び加熱処理方法
JP3935303B2 (ja) 加熱処理装置
JP2006237262A (ja) 加熱処理装置
JP4267809B2 (ja) 基板の処理装置及び処理方法
JP3324974B2 (ja) 熱処理装置及び熱処理方法
JP5559736B2 (ja) 基板加熱装置、これを備える塗布現像装置、及び基板加熱方法
JP3307377B2 (ja) レジストベーキング装置及びベーキング方法
JP4024980B2 (ja) 加熱処理方法及び加熱処理装置
JP2000323553A (ja) 基板搬送装置および露光装置
JP4920317B2 (ja) 基板の処理方法、プログラム、コンピュータ読み取り可能な記録媒体及び基板の処理システム
JP2885502B2 (ja) 熱処理装置
JP3822752B2 (ja) 処理装置
TWI784143B (zh) 加熱處理裝置及加熱處理方法
JP3482353B2 (ja) 熱処理装置
JP2000077318A (ja) 熱処理装置
JP2003133399A (ja) ごみ除去システムおよび方法
JP2000294503A (ja) レジスト膜の形成方法およびレジスト塗布装置
JPH1174188A (ja) 基板加熱処理装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020709

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110726

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110726

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140726

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees