KR20000006469A - 열처리장치및열처리방법 - Google Patents

열처리장치및열처리방법 Download PDF

Info

Publication number
KR20000006469A
KR20000006469A KR1019990024254A KR19990024254A KR20000006469A KR 20000006469 A KR20000006469 A KR 20000006469A KR 1019990024254 A KR1019990024254 A KR 1019990024254A KR 19990024254 A KR19990024254 A KR 19990024254A KR 20000006469 A KR20000006469 A KR 20000006469A
Authority
KR
South Korea
Prior art keywords
substrate
heat treatment
processed
heat
panel
Prior art date
Application number
KR1019990024254A
Other languages
English (en)
Other versions
KR100467916B1 (ko
Inventor
사다테쯔야
Original Assignee
히가시 데쓰로
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론 주식회사 filed Critical 히가시 데쓰로
Publication of KR20000006469A publication Critical patent/KR20000006469A/ko
Application granted granted Critical
Publication of KR100467916B1 publication Critical patent/KR100467916B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Liquid Crystal (AREA)

Abstract

열처리반의 윗면에 미소한 돌기로서의 핀을 설치하고, 이 핀과 핀의 사이에는 진공력이 작용하는 관통공을 배치하고, 이 관통공으로부터 진공흡인한다. 열처리공정 초기의 소정시간 동안만 상기 관통공으로부터 진공흡인하여, 기판의 밑면과 열처리반 윗면 사이의 공간에 부압을 작용시켜 기판과 열처리반을 서로 접촉시킨다. 접촉 직후 진공도를 낮추어, 기판 자체의 강성을 이용하여 기판과 열처리반을 서로 떨어지게 한다. 열처리 초기에는 기판과 열처리반을 직접 접촉시키기 때문에, 기판의 온도가 신속하고 균일하게 상승한다. 또, 열수축의 정도가 큰 때에는, 기판과 열처리반이 서로 떨어져있기 때문에 마찰전기가 발생되기 어렵고, 정전파괴가 미연에 방지된다. 따라서, 정전파괴를 일으키지 않고 기판전체에 걸쳐 균일하게 열처리를 실시할 수 있는 열처리장치 및 열처리방법을 제공한다.

Description

열처리장치 및 열처리방법 {HEAT PROCESS APPARATUS AND HEAT PROCESS METHOD}
본 발명은, 예를들어 사진제판기술을 사용하여 액정표시장치(이하, 액정표시장치를 「LCD」로 표시함)를 제조하는 LCD제조 시스템 내에 설치되어 있는 가열장치 및 냉각장치등의 열처리장치 및 열처리방법에 관한 것이다.
종래부터, LCD용 유리기판(이하, LCD용 유리기판을 단순히 「유리기판」으로 칭함)에 열처리를 행하는 열처리장치로서는, 히터나 냉각체등을 내장한 열처리반의 윗면에 진공흡인력으로 유리기판을 흡착시킴으로써 유리기판의 밑면과 열처리반의 윗면을 접촉시켜, 이 상태에서 열처리반으로부터 유리기판에 직접적으로 열량이 전달되는 직접접촉형의 열처리장치가 사용되고 있다.
이 직접접촉형 열처리장치에서는, 피처리체인 유리기판에 열처리반이 접촉된 상태에서 직접적으로 열량의 이동이 행하여지기 때문에, 열량 전달의 효율이 높고 단시간내에 또 균일히 유리기판의 열처리가 가능하다고 하는 장점의 갖추고 있다.
그러나, 이 직접접촉형 열처리장치에서는, 유리기판과 열처리반 사이에 마찰이 일어나기 쉽다. 마찰이 일어나면 유리가판에 정전기가 축적되어, 이 정전기가 소정량을 초과하면 정전파괴(靜電破壞)가 일어난다. 이 정전파괴가 일어날 때의 전압은 수 십 킬로로볼트로서 극도로 높고, 유리기판에 막대한 손상을 입힌다. 이 때문에, 이 정전파괴는 LCD의 수율(收率)을 저하시키는 주요 요인의 하나라고 할 수 있고, 이 정전파괴를 어떻게 방지할 것인가가 중요한 과제로 되고 있다.
이 정전파괴를 방지하는 하나의 방법으로서 비접촉형 열처리방법이 있다. 이 비접촉형 열처리방법은, 열처리반의 윗면에 미소한 돌기를 다수 설치하여, 유리기판을 열처리반 위에 고정시킬 때 유리기판의 밑면은 이 돌기의 선단에만 접촉되어, 유리기판 밑면의 그 외 다른 부분은 열처리반 윗면과 떨어진 상태로 지지된다. 그리고, 열처리반으로부터의 열량은, 열처리반의 윗면과 유리기판 밑면의 사이에 형성된 간격에 존재하는 공기를 매개로 하여 전달되도록 되어 있다.
이 비접촉형의 열처리장치에서는, 마찰에 의한 정전기의 발생이 확실하게 억제되기 때문에, 유리기판으로의 손상이 적다고하는 이점이 있다.
그러나, 이 비접촉형의 열처리장치에서는, 유리기판으로의 열량의 전달을 공기층을 매개로하여 간접적으로 행하기 때문에 유리기판으로의 열량 전달에 시간이 걸린다고하는 문제 및 열량이 유리기판에 균일하게 전달되기 어렵기 때문에 균일한 열처리가 곤란하다고 하는 문제점이 있다.
본 발명은 상기의 문제를 해결하기 위한 것으로, 본 발명은, 정전파괴를 일으키지 않는 열처리장치 및 열처리방법을 제공하는 것을 목적으로 한다.
또, 본 발명은 유리기판 전체에 걸쳐서 균일하게 열처리를 행할 수 있는 열처리장치 및 열처리방법을 제공하는 것을 목적으로 한다.
또, 본 발명은 신속하게 열처리를 행할 수 있는 열처리장치 및 열처리방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해 본 발명과 관련된 열처리장치는 피처리기판을 가열하는 열처리반과, 이 열처리반의 피처리기판 재치면측에 복수로 배치되어 피처기판을 열처리반으로부터 소정의 간격을 띄워 지지하는 지지체와, 상기 피처리기판의상기 지지체에 의해 지지되는 지지영역 외의 영역에 있어서, 상기 피처리기판을 상기 열처리반측에 흡인에 의해 자유롭게 접촉시킬 수 있도록 구성된 흡인수단을 구비하는 것을 특징으로 한다.
또, 상기 흡인수단의 상기 피처리기판에 대한 흡인영역은 복수영역 및 또는 주변부의 적어도 일부영역인 것이 바람직하다.
또, 상기 복수의 지지체 또는 이동재치수단은 상기 열처리반 위에 재치된 피처리기판 각 변의 중심점을 연결하여 마름모 모양을 형성하는 위치 및 또는 상기 열처리반 위에 재치된 피처리반의 4 꼭지점과 각 변의 중심점의 위치에 배치되는 것이 바람직하다.
또, 흡인수단의 흡인량을 조절하는 흡인량조절수단을 더 구비하여, 이 흡인량조절수단에 의해 상기 피처리기판을 상기 열처리반에 접촉시키거나 또는 소정의 간격으로 유지하여 상기 기판을 처리하는 것이 바람직하다.
또, 상기 열처리반의 주변부보다 중앙부 쪽이, 상기 피처리기판과 상기 열처리반과의 간격이 크게되도록 설정하여 피처리기판의 처리가 실시되는 것이 바람직하다.
또, 상기 복수의 지지체 또는 이동재치수단은, 소정의 전위(電位)로 설정되는 것이 바람직하다.
또, 상기 지지체 또는 이동재치수단에 의해 피처리기판과 상기 열처리반이 서로 떨어지게 되는 거리는 0.2∼0.3㎜가 바람직하다.
본 발명에 관련된 열처리장치는 피처리기판을 가열하는 열처리반과, 상기 피처리기판의 복수점을 지지하여 상기 열처리반과 상기 피처리기판을 근접 및 또는 서로 떨어지게 하는 이동재치수단과, 이 이동재치수단에 의해 지지된 상태에서 피처리기판을 상기 열처리반측에 흡인에 의해 자유롭게 접촉되도록 하는 흡인수단을 구비하는 것을 특징으로 한다.
또, 상기 흡인수단의 상기 피처리기판에 대한 흡인영역은 복수영역 및 또는 주변부의 적어도 일부영역인 것이 바람직하다.
또, 상기 복수의 지지체 또는 이동재치수단은 상기 열처리반 위에 재치된 피처리기판 각 변의 중심점을 연결하여 마름모 모양을 형성하는 위치 및 또는 상기 열처리반 위에 재치된 피처리반의 4 꼭지점과 각 변의 중심점의 위치에 배치되는 것이 바람직하다.
또, 흡인수단의 흡인량을 조절하는 흡인량조절수단을 더 구비하고, 이 흡인량조절수단에 의해 상기 피처리기판을 상기 열처리반에 접촉시키거나 또는 소정의 간격으로 유지하여 상기 피처리기판을 처리하는 것이 바람직하다.
또, 상기 열처리기판의 주변부보다 중앙부가 상기 피처리기판과 상기 열처기반과의 간격이 크게되도록 설정하여 피처리기판의 처리가 실시되는 것이 바람직하다.
또, 상기 복수의 지지체 또는 이동재치수단은 소정의 전위(電位)로 설정되는 것이 바람직하다.
또, 상기 지지체 또는 이동재치수단에 의해 피처리기판과 상기 열처리반이 서로 떨어지게 되는 거리는 0.2∼0.3㎜가 바람직하다.
본 발명에 관련된 열처리방법은 열처리기판과 열처리반의 소정의 간격으로 근접시켜, 피처리기판의 주변부보다 중앙부가 피처리기판과 열처리반(피처리기판을 가열함) 사이의 간격이 크게 되도록 설정하거나, 또는 피처리기판을 열처리반(피처리기판을 가열함)에 대하여 요철상(凹凸狀)으로 변형하도록 설정하거나, 또는 피처리기판을 열처리반(피처리기판을 가열함)에 대하여 부분적으로 접촉되도록 설정하거나, 또는 상기 피처리기판과 상기 열처리반과의 간격을 부분적으로 적어도 하나의 영역을 변화시켜 설정하여 피처리기판의 열처리가 행하여지도록 하는 것을 특징으로 한다.
또, 상기 열처리를 행하기 전의 공정으로서, 상기 열처리에 있어서의 피처리기판과 열처리기반 사이의 간격보다 실질적으로 큰 간격을 설정하여 미리 열처리하는 보조열처리공정을 구비하는 것이 바람직하다.
또, 상기 열처리 실시 전, 및 또는 열처리 중 및 또는 열처리 후에 피처리기판에 대하여 제전(除電)을 실시하는 제전공정(除電工程)을 구비하는 것이 바람직하다.
본 발명에서는 지지체로 피처리기판을 지지하여 열처리 개시직후의 이동하는 열량이 큰 시기에는 흡인수단으로 상기 피처리기판과 상기 열처리반을 접촉시키는 한편, 피처리기판으로의 열량의 이동량이 감소된 후에는 상기 피처리기판과 상기 열처리반을 서로 떨어지게 할 수 있다. 이 때문에 단시간내에 균일하게 가열할 수 있고 또 정전파괴를 방지할 수 있다.
또, 열처리 개시직후의 이동열량이 큰 시기에는 진공을 크게 작용시켜 상기피처리기판과 상기 열처리반을 접촉시킨다. 한편, 피처리기판으로의 열량의 이동량이 감소된 후에는 상기 진공을 약하게 한다. 그러면 피처리기판 자체의 강성(剛性)에 의해 똑바로 펴지려고 하기 때문에 상기 피처리기판과 상기 열처리반이 서로 떨어지게 된다. 이 때문에 단시간내에 균일하게 가열할 수 있고, 또 정전파괴를 방지할 수 있다.
또, 피처리기판 중앙부보다 열이 피처리기판 외부로 빠져나가기 쉬운 피처리기판 주변부, 특히 피처리기판의 모서리부에 상대적으로 많은 열량을 공급함으로써, 기판(G)전체에 걸쳐 균일한 열처리를 행할 수 있다.
도 1은 본 발명의 실시형태와 관련된 도포·현상처리장치의 사시도이다.
도 2는 본 발명의 실시형태와 관련된 도포·현상처리장치의 평면도이다.
도 3은 본 발명의 실시형태와 관련된 열처리유니트의 수직단면도이다.
도 4a는 본 발명의 실시형태와 관련된 열처리유니트의 사시도이다.
도 4b는 본 발명의 실시형태와 관련된 열처리유니트의 사시도이다.
도 5는 본 발명의 실시형태와 관련된 열처리유니트의 수직단면도이다.
도 6은 본 발명의 실시형태와 관련된 열처리유니트의 평면도이다.
도 7은 본 발명의 실시형태와 관련된 열처리유니트의 수직단면도이다.
도 8은 본 발명의 실시형태와 관련된 열처리유니트의 수직단면도이다.
도 9은 본 발명의 실시형태와 관련된 열처리유니트의 수직단면도이다.
도 10은 본 발명의 실시형태와 관련된 열처리유니트의 동작을 나타내는 타이밍차트이다.
도 11a는 본 발명의 실시형태와 관련된 열처리반의 평면도이다.
도 11b는 본 발명의 실시형태와 관련된 열처리유니트의 이동재치수단의 사시도이다.
도 12는 본 발명의 실시형태와 관련된 열처리반의 평면도이다.
도 13은 기판이 승온되는 경과를 나타내는 그래프이다.
도 14는 기판이 승온되는 경과를 나타내는 그래프이다.
도 15는 기판이 승온되는 경과를 나타내는 그래프이다.
도 16은 기판이 승온되는 경과를 나타내는 그래프이다.
도 17은 기판이 승온되는 경과를 나타내는 그래프이다.
도 18은 기판이 승온되는 경과를 나타내는 그래프이다.
도 19는 기판의 대전상태를 나타내는 그래프이다.
도 20은 본 발명의 제2의 실시형태와 관련된 열처리반의 수직단면도이다.
도 21은 본 발명의 제 2의 실시형태와 관련된 열처리반의 수직단면도이다.
도 22는 본 발명의 제 3의 실시형태와 관련된 열처리반의 평면도이다.
도 23는 본 발명의 제 3의 실시형태와 관련된 열처리반의 수직단면도이다.
도 24는 본 발명의 제 3의 실시형태와 관련된 열처리반의 수직단면도이다.
<도면의 주요부분에 대한 부호의 설명>
G : 기판 58 : 열처리반(熱處理盤)
62 : 리프트핀(lift pin)-이동재치수단 62 : 관통공-흡인수단
91 : 배관 92 : 진공 펌프
93 : 핀(지지체) 100 : 제어부
이하, 본 발명의 바람직한 실시형태를 액정디스플레이용 LCD용 유리기판(G)(이하, 「기판(G)」으로 칭 함. 덧붙여 말하면, 유리기판에 한정되지 않고 반도체 웨이퍼등의 판상체(板狀體)기판에도 적용될 수 있음은 물론이다)의 표면에 포토레지스트를 형성하는 레지스트 처리시스템에 적용한 예에 의거하여 설명한다.
도 1은 본 발명의 하나의 실시형태와 관련된 도포·현상장치의 사시도(斜視圖)이고, 도 2는 평면도이다.
도포·현상장치(1)는 그 한쪽면에 카세트 스테이션(C/S)을 갖추고 있다.
또, 도포·현상장치(1)의 다른 한쪽에는 노광장치(도시하지 않음)와의 사이에서 LCD용 유리기판(G)(이하, LCD용 유리기판을 「기판」으로 칭 함)을 주고 받기 위한 인터페이스부(I/F)가 설치되어 있다.
이 카세트 스테이션(C/S)에는, LCD용 기판등의 기판(G)을 수용하는 복수의카세트, 예를들어 4조의 카세트(2)가 재치되어 있다. 카세트스테이션(C/S)의 카세트(2) 정면측에는 피처리기판인 기판(G)의 반송 및 위치결정을 행함과 동시에 기판(G)을 보유·유지하여 메인아암(3)과의 사이에서 기판을 주고받기 위한 보조아암(4)이 설치되어 있다.
인터페이스유니트(I/F)에는 노광장치(도시하지 않음)과의 사이에서 기판(G)을 주고 받기 위한 보조아암(5)이 설치되어 있다. 또 인터페이스유니트(I/F)에는 메인아암(3)과의 사이에서 기판(G)을 주고받기 위한 엑스텐션부(6) 및 기판(G)을 일단 대기시키는 버퍼유니트(7)가 배치되어 있다.
메인아암(3)은 도포·현상장치(1) 중앙부를 장치의 긴 쪽으로 이동가능하도록 2대가 직렬로 배치되어 있고, 각 메인아암(3) 반송로의 양측에는 각각 제 1처리 유니트군(A), 제 2처리유니트군(B)이 배치되어 있다. 제 1처리유니트군(A)과 제 2처리유니트군(B)의 사이에는 기판(G)을 일단 보유·유지하고 동시에 냉각시키는 중계부(8)가 배치되어 있다.
제 1처리유니트군(A)에는 카세트 스테이션(C/S/)의 측방에, 기판(G)을 세정하는 세정처리유니트(SCR)와 현상처리를 행하는 현상처리유니트(DEV)가 병설되어 있다. 또 메인아암(3)의 반송로를 사이에 두고 세정처리유니트(SCR) 및 현상처리유니트(DEV)의 반대편에는, 상하 2단으로 배치된 2조의 열처리유니트(HP)와, 상하 2단으로 배치된 UV처리유니트(UV) 및 냉각처리유니트(COL)가 인접되어 배치되어 있다.
제 2처리유니트군(B)에는 레지스트도포처리 및 엣지위 레지스트의 제거처리를 행하는 도포처리유니트(COT)가 배치되어 있다. 또, 메인아암(3)의 반송로를 사이에 두고 도포처리유니트(COT)의 반대편에는, 상하 2단으로 배치되어 기판(G)을 소수화(疎水化)처리 하는 어드히젼유니트(AD) 및 냉각유니트(COL)와, 상하 2단으로 배치된 열처리유니트(HP) 및 냉각유니트(COL)와 상하 2단으로 배치된 2조의 열처리유니트(HP)가 인접되어 배치되어 있다. 열처리유니트(HP)와 냉각유니트(COL)를 상하로 2단 배치할 경우, 열처리유니트(HP)를 위에 냉각처리유니트(COL)를 밑에 배치함으로써, 상호간에 열간섭이 일어나는 것을 피하고 있다. 이에 의해 보다 정확한 온도제어가 가능하다.
메인아암(3)은 X축 구동기구, Y축 구동기구 및 Z축구동기구를 갖추고, 또 Z축을 중심으로 회전하는 회전구동기구를 각각 갖추고 있다. 이 메인아암(3)이 도포·현상장치(1)의 중앙통로를 따라서 적당히 주행하여 각 처리유니트간에 기판(G)을 반송한다. 그리고 메인아암(3)은 각 처리유니트 내에 처리전의 기판(G)을 반입하고, 또 각 처리유니트내로부터 처리가 종료된 기판(G)을 반출한다.
본 실시형태의 도포·현상장치(1)에서는 이와 같이 각 처리유니트가 집약되어 일체화됨으로써, 공간의 절약 및 처리의 효율화를 꾀할 수 있다.
이와 같이 구성된 도포·현상장치(1)에 있어서, 먼저 카세트(2)내의 기판(G)이 보조아암(4) 및 메인아암(5)에 의해 세정처리유니트(SCR)에 반송되어 세정처리된다.
다음, 메인아암(3)과 중계부(8) 및 메인아암(3)을 매개로 하여 어드히젼유니트(AD)로 반송되어 소수화처리된다. 이에 의해 레지스트의 정착성이 높아진다.
다음, 메인아암(3)에 의해 냉각유니트(COL)로 반송되어 냉각된다. 그 후, 메인아암(3)에 의해 도포처리유니트(COT)로 반송되어 레지스트가 도포된다.
다음, 기판(G)은 메인아암(3)에 의해 가열처리유니트(COL)로 반송되어 냉각된 후, 메인아암(3) 및 인터페이스부(I/F)를 매개로 하여 노광장치로 반송되어 그곳에서 소정의 패턴이 노광된다.
그리고, 노광된 기판(G)은 다시 인터페이스부(I/F)를 매개로 하여 장치(1)내로 반입되어, 메인아암(3)에 의해 가열처리유니트(HP)로 반송되어 포스트엑스포져베이크(post exposure bake)처리가 실시된다.
그 후, 기판(G)은 메인아암(3)과 중계부(8) 및 메인아암(3)을 매개로 하여 냉각유니트(COL)로 반입되어 냉각된다. 그리고 기판(G)은 메인아암(3)에 의해 현상처리유니트(DEV)로 반입되어 현상처리됨으로써 소정의 패턴이 형성된다. 현상처리된 기판(G)은 메인아암(3)에 의해 포스트베이크처리유니트(HP)로 반송되어 포스트베이크(post bake)처리가 실시된다.
그리고, 포스트베이크처리된 기판(G)은, 메인아암(3) 및 보조아암(4)에 의해 카세트 스테이션(C/S)상의 소정의 카세트(2)에 수용된다.
다음, 도 3 및 도 4에 의거하여, 열처리장치, 예를들어 베이킹유니트(PREBAKE),(PEB), 쿨링유니트(COL),(EXTCOL)와 같은 열처리유니트의 구성 및 작용에 관하여 설명한다.
도 3 및 4는 본 실시형태와 관련된 열처리유니트의 구성을 나타내는 평면도 및 단면도이다. 특히 도 4에서는 도면의 설명을 위해 수평차폐판(水平遮蔽板)(55)을 생략하고 있다.
이 열처리유니트의 처리실(50)은 양측벽(53)과 수평차폐판(55)으로 구성되어, 처리실(50) 정면측(주 웨이퍼 반송기구 24측) 및 배면측은 각각 개구부(50a, 50b)로 되어 있다. 차폐판(55)의 중심부에는 방형(方形)의 개구(56)가 형성되어, 이 개구(56)내에는 마찬가지로 방형상의 열처리반(58)이 재치대로서 설치되어 있다. 열처리반(58)에는 예를들어 4개의 구성(60)이 설치되어, 각 구멍(60)내에는 리프트핀(62)이 움직일 수 있도록 관통되어 배치되어 있고, 기판의 로우딩(loading)·언로우딩(unloading)시에는 각 리프트핀(62)이 열처리반 표면으로부터 위로 돌출 또는 상승되어 주반송기구(22)의 보유·유지 부재(48)와의 사이에서 기판(G)의 주고받기를 행할 수 있도록 되어 있다.
각 열처리반(58)의 바깥 주변에는 원주방향으로 예를들어 2。간격으로 다수의 통기공(通氣孔)(64)이 형성되어 있는 방형의 대판(帶板)형상을 가지는 셔터(66)가 설치되어 있다. 이 셔터(66)는 통상적으로는 열처리반(58) 밑에 위치하고 있으나, 가열처리시에는 열처리반(58)의 윗면 보다 높은 위치로 상승하여 열처리반(58)과 커버체(68)의 사이에 방형의 측벽을 형성하여, 도시되지 않은 기체공급계로부터 보내어지는 다운 플로우(down flow)의 공기나 질소가스등의 불활성가스를 통기공(64)으로부터 주변방향으로 균등하게 유입시키도록 되어 있다.
커버체(69)의 중심부에는 가열처리시에 기판(G)표면으로부터 발생하는 가스를 배출시키기 위한 배기구(68a)가 설치되어, 이 배기구(68a)에 배기관(70)이 접속되어 있다. 이 배기관(70)은, 장치정면측(주 웨이퍼반송기구 22측)의덕트(duct)(53 또는 54) 또는 도시되지 않은 덕트로 통해져 있다.
차폐판(55) 밑에는, 차폐판(55)과 양측벽(53)과 바닥판(72)에 의해 기계실(74)이 형성되어 있고, 실내에는 열처리반 지지판(76), 셔터 아암(78), 지지핀 아암(80), 셔터아암 승강구동용 실린더(82), 리프트핀 아암 승강구동용 실린더(84)가 설치되어 있다.
도 4에 나타낸 바와 같이, 기판(G) 안내지지 돌기부(86)가 설치되어 있다
도 3에 나타낸 바와 같이, 커버체(68)의 하면측에는 원추형의 요부(凹部)(68b)가 형성되어 있고, 이 원추의 정점이 되는 부분에는 배기구(68a)가 설치되어, 이 배기구(68a)에 배기관(70) 하단이 접속되어 있다. 배기관(70)의 타단측은 도시되지 않은 배기계가 접속되어있고, 열처리반(58)에 의해 가열되어 상승된 가열기체가 원추형의 요부(68b)에 모여져, 상기 배기구(68a)와 배기관(70)을 매개로 하여 배기되도록 되어 있다.
또 도 4b에 나타낸 바와 같이, 처리실(50)의 배면측 개구부(50B)에는 기판(G)의 전하를 제거하는 제전수단(除電手段), 예를들어 이오나이저(102)에는 노즐형의 기체토출구(103)가 등간격의 수평방향으로 기판의 장변보다 길게 설치되어, 그 기체토출구(103)의 소정부위, 예를들어 중심부에 방전전극(도시하지 않음)이 있어, 방전전극에서 발생된 이온을, 배관을 통해 기체토출구로부터 토출되는 공기라든가 질소가스 및 그 외 불활성가스등의 이온화가스의 흐름에 태워, 기판의 재치면 및 기판 표면과 뒷면의 넓은 범위에 뿜어냄으로써, 기판의 재치면 및 기판 뒷면에 대전된 전하를 제거하도록 구성되어 있다.
제 5는 본 실시형태에 관련된 열처리반(58)과 그 주변의 구성을 모식적으로 나타낸 수직단면도이다.
도 5에 나타낸 바와 같이, 열처리반(58)의 내부에는 예를들어 니크롬선등의 발열체로 구성된 히터(H)는 제어부(100)에 접속되어 있다. 또 열처리반(58)에는 열처리반(58)의 온도를 검출하는 센서(도시 생략)가 배치되어 있고, 이 센서로 검출된 온도를 제어부(100)로 보내도록 되어 있다. 제어부(100)는, 센서에서 검출된 열처리반(58)의 온도에 의거하여 히터(H)에의 공급전력량을 가감시킴으로써, 히터(H)의 발열량을 제어하여 열처리반(58)의 온도를 제어하도록 되어 있다.
도 5에 나타낸 바와 같이, 열처리반(58)의 상하 방향에는 기판(G)을 흡인하는 흡인수단으로서, 예를들어 관통공(90)이 배치되어 있다. 이 관통공(90)은 열처리반(58)상에 기판(G)이 재치될 때 이 유리기판을 흡착시키기 위한 것이다. 이 관통공(90)의 그림 중앙 하단측에는 배관(91)이 접속되어 있고, 이 배관의 끝에는 관통공(90)으로부터의 흡인량을 조정하는 흡인량 조절수단, 예를들어 압력조정밸브(101)가 접속되어 그 끝에는 진공펌프가(92)가 접속되어 있다. 이 진공펌프(92)는 상기 제어부(100)와 접속되어 있고, 제어부(100)에 의해 제어되고 있다. 따라서, 이 진공펌프(92)를 작동시킴으로써 배관(91)내에 부압(負壓)이 발생되고, 더 나아가서는 열처리반(58)의 윗면과 기판(G)의 뒷면 사이에 형성되는 공간에 부압이 발생되도록 되어 있다. 또 압력조정밸브(101)는 상기 제어부(100)와 접속되어 있고, 제어부(100)에 의해 제어된다. 따라서, 이 압력조정밸브(101)의 개폐를 제어함에 의해 통기공(90)으로부터의 흡인량을 조절할 수 있다.
더우기, 이 열처리반(58)의 윗면에는 열처리반의 피처리기판의 재치면측에 복수로 배치되어 피처리기판을 열처리반과 소정 간격을 띄워 지지하는 지지체(支持體), 예를들어 「프록시미티 핀」(proximity-pin)으로 불리는 돌기상의 핀(93,93…)이 복수로 배치되어 있다.
이 프록시미티핀(이하, 이 「프록시미티핀」을 간단하게 「핀」으로 칭함)(93,93…)은, 열처리반(58) 위에 재치되는 기판(G) 뒷면과의 사이에 약간의 간격을 형성하여, 기판(G)과 열처리반(58)과의 사이에 마찰이 발생하여 기판(G)위에 정전파괴가 일어나는 것을 방지시키기 위한 것이다.
이 핀의 높이는 상기 진공펌프(92)를 작동시키지 않은 상태에 있어서는, 기판(G)이 핀(93,93…)의 정점에 의해 지지되어 거의 수평으로 유지되어, 기판(G) 뒷면과 열처리반(58) 윗면의 사이에 간격이 형성될 수 있는 높이이다. 그리고 상기 진공펌프(92)를 작동시켜 열처리반(58) 윗면과 기판(G) 뒷면의 사이에 부압을 작용시킬 경우, 기판(G)의 만곡(彎曲)되어 인접하는 핀(93)과 핀(93) 사이의 부분이 열처리반(58)의 윗면과 직접 접촉될 수 있는 높이이다.
이 높이는 핀(93,93…)의 배치방법, 인접하는 2개의 핀(93)의 간격, 또는 기판(G)의 강성 및 두께등에 따라 적절히 정해져 있으나, 일반적으로는 0.2㎜∼0.3㎜의 범위가 바람직하다.
여기서 핀의 높이를 0.2㎜∼0.3㎜의 범위로 한 이유는, 이 핀의 높이가 0.2㎜미만일 경우에 기판 및 열처리반에 접촉하는 면적이 켜져, 마찰에 의한 대전을 억제시킬 수 없는 문제가 발생하기 때문이다.
또 이 핀의 높이가 0.3㎜를 초과할 경우, 기판이 낮은 진공압으로는 열처리반에 흡착되지 않기 때문에 기판과 열처리반 사이의 열교환이 신속하게 진행되지 않는 문제가 발생된다. 이것은 특히 주변부가 진공흡착되지 않기 때문이다.
덧붙여 말하면, 본 실시형태에서는 핀(93)의 높이를 0.2㎜로 하고 있다.
또 이 핀(93,93…)의 배치는, 상기 진공펌프(92)를 작동시키지 않은 상태에 있어서는 기판(G)이 핀(93,93…)의 정점에 의해 지지되어 거의 수평으로 유지되어, 기판(G) 뒷면과 열처리반(58) 윗면의 사이에 간격이 형성될 수 있는 높이이다. 그리고 상기 진공펌프(92)를 작동시켜 열처리반(58) 윗면과 기판(G) 뒷면의 사이에 부압을 작용시킬 경우, 기판(G)이 만곡되어 인접하는 핀(93)과 핀(93)사이의 부분이 열처리반(58)의 윗면과 직접 접촉될 수 있도록 배치된다.
특히, 본 실시형태에서 핀(93,93…)의 배치는 도 6에 나타낸 바와 같이 열처리반(58)에서, 재치되는 기판(G)의 세로 가로 각 변의 중심점을 정점으로하는 마름모형을 형성하도록 되어 있고, 이 마름모형의 중심과 각 변 중심의 합계 9개소에 배치된다.
특히, 리프트핀(62)과 핀(93)은 소정의 전위(電位) 예를들어 어스(earth)가 접지되어 있다. 또 순간적으로 기판이(G)이 어스에 방전하여 기판이 정전파괴되지 않도록 긴 시간을 걸쳐 서서히 어스에 전하가 이동되도록 하는 전기저항기(도시하지 않음)가 배선의 도중에 설치되어 있다. 따라서 기판(G)이 리프트핀(62) 및 핀(93)에 접촉되면 기판(G)의 전하가 리프트핀(62) 및 핀(93)에 서서히 이동되어, 기판(G)의 제전(除電)이 가능하다.
다음, 본 실시형태에 관련된 열처리유니트(HP)의 동작에 관하여 설명한다.
도포처리유니트(COT) 및 현상처리유니트(DEV)등에서 전처리(前處理)가 완료되면, 각 처리유니트내에 메인아암(3)이 접근하여 그 안에 수용된 기판(G)을 꺼낸다. 이 기판(G)을 보유·유지한 상태에서 메인아암(3)은 중앙 통로를 이동하여 열처리유니트(HP) 앞에 정지한다. 여기서 메인아암(3)이 펼쳐져 열처리유니트(HP)의 정면을 향한다. 다음 메인아암(3)은 기판보유유지부재를 뻗혀, 열처리유니트(HP)내에서는 리프트핀(62,62)으로 기판(G)이 건네진다.
이 상태에서 메인아암(3)은 끌어 들어져, 열처리유니트(HP)의 바깥으로 이동한다. 다음, 리프트핀(62,62…)이 하강함에 따라서 기판(G)도 하강하여 열처리반(58)의 윗면에 접근한다. 또 리프트핀(62,62…)이 하강하여 열처리반(58)의 윗면보다 낮은 위치로 하강하면, 기판(G)은 열처리반(58)위에 재치된다. 도 7은 기판(G)이 열처리반(58)에 재치된 상태를 나타내는 수직단면도이다.
도 7에 나타낸 바와 같이, 리프트핀(62,62…)을 열처리반(58) 윗면보다 낮은 위치로 하강시키면, 기판(G)의 밑면은 열처리반(58) 윗면에 설치된 핀(93,93…)의 정점에 접촉되어 핀(93,93…)의 정점에 의해 지지되기 때문에 이보다 낮은 위치로는 하강하지 않는다. 이 때문에 기판(G)의 밑면과 열처리반(58) 윗면 사이에 근소한 간격이 형성되어, 기판(G) 밑면의 대부분은 열처리반(58) 윗면과 비접촉의 상태로 유지된다.
다음, 이 기판(G)이 열처리반(58)의 열에 의해 열처리가 실시될 때의 상태에 관해서 설명한다.
도 10은 기판(G)이 열처리반(58) 위에서 열처리 될 때의 타이밍 차트(timing chart)를 나타내고, 도 8과 도 9는 열처리 될 때의 기판(G)의 상태를 나타내는 수직 단면도이다.
도 10에 나타낸 바와 같이 t1에서 메인아암(3)부터 리프트핀(62,62…)으로 기판(G)이 건네진다. 메인아암(3)이 후퇴한 후, 이온함유기체가 항상 토출되는 이오나이저(102)로부터 기판(G)을 향하여 이온함유기체가 뿜어져, 기판(G)을 제전시킨다. 리프트핀(62,62…)은 하강하여 기판(G)과 열처리반 사이를 4㎜로 유지시키고, t2로 될 때까지 50초 동안 이 상태를 유지한다.(보조열처리공정) 이 t1∼t2의 시간으로 기판(G)을 예비적으로 가열한다. 이 예비적 가열에 의해 기판 앞뒤의 온도차를 없애, 온도차에 의해 기판(G)의 앞뒤가 서로 다르게 늘어남으로 인해 기판(G)이 휘어지는 현상을 감소시켜, 기판(G)과 열처리반(58)과의 간격을 일정하게 유지시킬 수 있기 때문에, 기판(G)의 전면(全面)에 걸쳐 균일하게 열처리하는 것이 가능하다.
다음 t2로 되면, 리프트핀(62,62…)을 더욱 하강시켜 열처리반(58)내에 수용하여 기판(G)을 열처리반(58)의 핀(93,93…)위에 재치한다. 이것과 동시에 진공배관(91)에 진공력을 작용시켜 압력조정밸브(101)의 개폐량을 제어하여 기판(G)과 열처리반(58) 사이 공간의 공기를 흡인하는 흡인량(吸引量)을 조정한다. 관통공(90)은 핀(93)이 배치된 마름모형의 정점보다 안쪽에 장방형상으로 복수 배치되기 때문에, 기판이 흡인되는 영역은 기판의 복수영역 및 기판 주변부의 적어도 일부영역이다. 이상태를 나타낸 것이 도 8이다.
도 8에 나타낸 바와 같이, 기판(G)과 열처리반(58) 사이에 진공력이 작용되면, 기판의 상방으로부터 하방을 향하여 대기압이 작용된다. 이 때문에 기판(G)의 상방 및 근방의 위치에서 보유·유지되어 인접하는 2개의 핀(93,93…)에 끼워진 부분이 하방으로 만곡되어, 기판(G)이 열처리반에 대하여 요철상으로 변형된다. 기판(G)이 요철상으로 변형됨으로 인해, 그 만곡된 밑부분(凹狀部分)이 열처리반의 윗면과 직접적으로 접촉된다. 기판(G) 주변부는 핀(93)이 존재하는 기판(G) 각 변의 중심점 부근을 제외하고는 특히 기판 모서리부 근방에는 핀(93)이 존재하지 않기 때문에 열처리반(58) 윗면과 직접적으로 접촉한다. 기판을 부분적으로 열처리반(58)에 직접적으로 접촉시켜, 이 직접적 접촉에 의해 열처리반(58)으로부터 기판(G)으로 열량이 적접적으로 이동하기 때문에, 단시간내에 대량의 열량이 이동한다. 또 기판(G)을 흡인한 상태에서는 기판(G)의 중앙부는 핀(93)의 배치가 다른 부분보다 조밀하게 되어 있고, 기판 주변부보다 중앙부 쪽이 기판(G)과 열처리반(58)의 간격이 크게 된다. 이 경우, 기판 중앙부보다 주변부의 열이 기판 외부로 빠져나가기 쉽기 때문에 기판 주변부, 특히 기판의 모서리부에 상대적으로 많은 열량을 공급함으로써 중앙부와 주변부의 온도차가 생기는 것을 억제시키기 때문에 기판(G) 전체에 걸쳐 균일하게 열처리를 행하 수 있다. 따라서 기판(G)처리에 있어서의 수율을 향상시킬 수 있다.
이 진공력을 작용시킨 상태는 t2∼t3의 10초간 계속된다. 따라서 t2∼t3의 기간 동안은 기판(G)과 열처리반(58)이 직접적으로 접촉된 상태가 유지된다.
t3으로 되면 진공 흡인은 정지되지만, 리프트핀(62,62…)은 열처리반(58)보다 낮은 위치에 유지된다. 이 상태가 t4까지 유지된다.
따라서, t3 이후에는 진공에 의해 기판(G)을 만곡시켜 열처리반(58)과 접촉시키는 힘이 약하게 된다. 기판(G)은 그 자체가 가지고 있는 강성에 의해 만곡을 해소하고 수평상태로 돌아가려고 하는 성질을 가지고 있다. 또 기판(G)과 열처리반(58)의 사이에 조금씩 공기가 흘러 들어온다.
이 때문에 기판(G)과 열처리반(58)은 t3으로부터 t4에 걸쳐, 핀(93)이 존재하지 않으며, 기판(G)이 열처리반(58)에 접촉된 부분이 열처리반(58)으로부터 서서히 떨어져, 기판(G)과 열처리반(58)의 간격이 변화한다. 한편, 중간의 공기층을 매개로하여 열처리반(58)으로부터 기판(G)으로 열량이 공급되기 때문에, t3∼t4동안에도 열처리는 계속해서 행하여진다.
t4으로 되었을 경우,리프트핀(62,62…)이 상방으로 돌출되어 기판을 들어올린다. 이리하여 기판(G)은 열처리반(58)으로부터 떨어져, 기판(G)의 열처리가 종료된다.
이 들어올려진 기판(G)은, 상기 열처리유니트로의 반입시의 역공정(逆工程)을 거쳐 메인아암(3)으로 건네져, 다음의 처리를 행하는 유니트를 향하여 반송된다.
이와같이, 본 실시형태와 관련된 열처리유니트에서는 열처리공정의 초기에 기판(G)과 열처리반(58)을 직접적으로 접촉시키기 때문에, 기판(G)을 단시간내에 균일하게 가열할 수 있다.
한편, 열처리공정의 후반에는 기판(G)과 열처리반(58)을 서로 떨어지게 한후, 아주 가까운 위치로 유지하여 열처리를 행하기 때문에 정전기 발생에 의한 기판(G)의 정전파괴가 방지된다. 특히, 진공흡인 후 기판(G)의 강성을 이용하여 서서히 기판(G)과 열처리반(58)이 서로 떨어지도록 하였기 때문에, 기판(G)이 열처리반(58)에 대하여 수평방향으로 이동하는 이동속도가 느리고, 마찰에 의한 정전기가 발생하기 어렵다. 이로 인해 보다 더 확실하게 정전파괴를 방지할 수 있게 된다. 또 이오나이저(102)에 의해 상시 기판(G)의 전하를 제거하기 때문에 정정파괴에 대해서 효과적이다. 덧붙여 말하면, 본 발명은 상기 실시형태에 한정되지 않는다.
예를들어, 상기 실시형태에서는 기판(G)을 가열처리하기 위한 열처리반(58)을 예로써 설명하였으나, 본 발명은 냉각장치에도 적용할 수 있다.
또 상기 실시형태에서는 니크롬선등의 발열체를 사용하여 직접적으로 열처리반(58)을 가열하는 구조의 열처리유니트에 관해서 설명하였으나, 밀폐된 공동내(空洞內)에 열매증기(熱媒蒸氣)가 순환하도록 되어 이 공동 밑에 액화된 열매(熱媒)를 히터로 가열하여 이 열매증기를 매개로 하여 열처리반 전체를 균일하게 가열하도록 하는 열정반(熱定盤)이라고 불리우는 열처리반을 사용하는 것도 가능하다.
더우기, 상기 실시형태에서 핀(92,92…)은 열처리반(58) 윗면에 마름모형으로 배치된 것을 사용하였으나, 핀(92,92…)의 배치는 기판(G)과 열처리반(58)사이의 공간에 작용하는 진공으로 진공도를 상승시켰을 경우에 기판이 열처리반(58)과 접촉하고, 진공도를 저하시켰을 경우에 기판(G)과 상기 열처리반(58)이 서로 떨어질 수 있는 위치이면 좋다. 따라서 예를들어 도 11a에서 나타낸 바와 같이 열처리반(58) 윗면이 재치되는 기판(G)의 4 모서리와 각 변의 중심점에 대응하는 위치에배치하는 것도 가능하다. 또 열처리반(58)의 기판재치면측에 복수 배치되어 피처리기판(G)을 열처리반(58)으로부터 소정의 간격을 띄워 지지하는 지지체인 핀(92,92…)에 기판(G)을 재치시켜 열처리를 행하였으나, 핀(92,92…)을 설치하지 않은 상태에서, 기판(G)을 복수점으로 지지하여 열처리반(58)과 기판(G)을 서로 근접시키거나 떨어지게 하는 수단인 리프트핀(62)에 기판(G)을 재치시켜 동일한 열처리를 행해도 좋은 것은 물론이다. 이 경우 도 11b에 나타낸 바와 같이 리프트핀(62)을 보유 및 유지하는 보유·유지부재(105)는 승강기구(106)에 연결되어 승강가능하도록 되어 있고, 리프트핀(62)을 열처리반(58)의 관통공(107)을 관통하여 상하로 출몰할 수 있도록 하고 있다. 즉, 리프트핀(62)은 수직이동이 자유롭도록 구성되어 있다. 이 경우, 승강기구(106)는 구동기구, 예를들어 스텝핑 모터(stepping motor)(108)와, 이 스텝핑 모터(108)에 의해 구동되는 구동 풀리(pulley)(109)와, 구동 풀리(109)의 상방에 배치되는 종동(從動) 풀리(110)와, 구동풀리(109)와 종동풀리(110)에 걸쳐져 있는 타이밍벨트(111)에 의해 구성되어 있고, 보유·유지부재(105)는 타이밍벨트(111)에 연결되어 있다. 따라서 스텝핑 모터(108)의 정회전 및 역회전에 의해 리프트핀(62)과 열처리반(58)이 상대적으로 상하이동 가능하도록 구성되어 있다. 열처리시의 동작은, 도 10에 나타낸 바와 같이 t1에서 기판(G)과 열처리반(58)의 사이를 4㎜로 유지하고, t2로 될 때까지의 50초간 이 상태를 유지한다.(보조열처리공정) 이 t1∼t2의 시간으로 기판(G)을 예비적으로 가열한다. 다음, t1으로 될 때까지 리프트핀(62)을 더욱 하강시켜 열처리반(58) 위에 리프트핀(62)이, 예를들어 0.2㎜ 돌출한 상태로 되었을 경우에 리프트핀(62)의 하강을 정지시켜, 이것과 동시에 진공배관(91)에 진공력을 작용시켜 기판(G)과 열처리반(58) 사이의 공간에 존재하는 공기를 빼낸다. 이 진공상태는 t2∼t3의 10초간 계속된다. 따라서, t2∼t3의 기간동안은 기판(G)과 열처리반(58)이 리프트핀(62) 근방을 제외하고는 직접적으로 접촉되는 상태가 유지된다. t3 이후는 진공에 의해 기판(G)이 만곡되어 열처리반(58)과 접촉하는 힘이 약해지지만, 기판(G)은 그 자체가 본래 갖추고 있는 강성에 의해 만곡을 해소하고 수평상태로 돌아가려고 하기 때문에, 기판(G)과 열처리반(58) 사이에 조금씩 공기가 흘러들어온다. 이 때문에, 기판과 열처리반(58)은 t3으로부터 t4에 걸쳐서, 리프트핀(62)의 근방을 제외한 기판(G)이 열처리반(58)에 접촉된 부분이 열처리반(58)으로부터 서서히 떨어져나가, 기판(G)과 열처리반(58)의 간격이 변화한다. 한편, 열처리반(58)으로부터는 그 사이의 공기층을 매개로 하여 기판(G)으로 열량이 공급되기 때문에, 이 시간 t3∼t4에도 열처리와 계속해서 행하여진다. t4로 되면 리프트핀(62,62…)이 상승하여 기판(G)을 들어올린다. 이렇게 하여 기판은 열처리반(58)으로 부터 떨어져, 기판(G)의 열처리가 완료된다.
또, 이오나이저(102)로부터 이온함유기체가 상시 토출되어, 이온함유기체가 기판(G)으로 뿜어져 기판(G)을 제전하였지만, 열처리를 행하기 전, 열처리중, 열처리 후의 어느쪽에 맞추어서 제전을 행해도 좋다. 열처리를 행하기 전에만 제전을 행할 경우, 열처리전의 타 유니트에서의 처리중에 발생한 전하를 제거할 수 있기 때문에, 정전파괴를 방지할 수 있다. 또, 기판(G)의 전하를 열처리전에 제거하기 때문에 미소한 먼지가 기판(G)에 끌려들어오는 일이 없고, 기판의 전면에 걸쳐 균일한 열처리를 행할 수 있다. 또 열처리중에 기판(G)으로 이온함유기체를 뿜어내지 않기 때문에 기판(G)의 온도분포가 흐트러지는 일 없이 기판(G) 전면에 걸쳐서 균일하게 열처리할 수 있다. 또 열처리중에 제전을 행할 경우, 열처리중에 기판(G)이 열처리반(58)과 접촉하거나 떨어질 때 발생하는 전하를 제전할 수 있기 때문에 정전파괴를 방지할 수 있다. 이 경우 기판(G)의 온도분포가 흐트러지지 않도록 하기 위하여 이온함유기체를 기판처리온도로 온도조절하여 기판으로 뿜어내는 것이 좋고, 이에 의해 기판으로 열을 보조적으로 전달할 수 있기 때문에 열처리시간도 단축될 수 있다. 또 열처리 후에 제전할 경우, 리프트핀(62,62…)으로 기판을 들어올릴 때, 콘덴서와 같은 원리로서 기판과 열처리반(58)이 서로 떨어질수록 기판의 전위가 높게 되어 기판이 방전되기 쉬워지는 경향이 있다. 따라서, 기판(G)을 서서히 열처리반(58)으로부터 떨어지게 하면서 기판(G)의 전하를 제거하거나, 또는 기판(G)의 열처리반(58)으로부터 떨어지면 기판(G)의 상승을 정지시켜 기판(G)의 전하를 제거함으로써, 열처리중에 발생한 전하를 제거할 수 있어 제전에 대해 효과적이다. 또 열처리전과 열처리후에 제전할 경우, 열처리중에 있어서 기판(G)과 열처리반(58)이 근접되어 있기 때문에 기판(G)에 전하가 발생해도 기판(G)의 전위가 낮고 만약에 제전을 행하지 않더라도 기판(G)의 순간적으로 방전되어 정전파괴되는 일이 없고, 또 열처리중에 기판(G)으로 온도조절되지 않은 이온함유기체를 뿜어내지 않기 때문에 기판(G)의 온도분포가 흐트러지는 일 없이 기판(G) 전면에 걸쳐 균일하게 열처리할 수 있다. 또 열처리중과 열처리후에 제전할 경우는 열처리전 또는 열처리중 또는 열처리후 중의 어느 한쪽에 있어 제전할 경우와 비교하여 열처리후의 제전시간을 단축시킬 수 있기 때문에, 스루풋(throughput)의 향상을 꾀할 수 있다. 또 상시 이온함유기체를 토출하는 것과 비교하여, 부분적인 시간에만 이온함유기체를 토출하는 것이 이오나이저(102)의 방전전극(放電電極)의 열화를 감소시킬 수 있기 때문에 이오나이저(102)의 수명을 연장시킬 수 있다. 또 이온을 생성시키기 위한 전력 및 이온을 뿜어내는 기체도 삭감시킬 수 있어, 가동비용(running cost)이 절약된다.
또, 상기 실시형태에서는 LCD용기판(G)을 열처리하기 위한 열처리유니트에 관하여 설명하였으나, 실리콘 웨이퍼용의 열처리유니트에도 적용할 수 있는 것은 물론이다.
다음, 본 실시형태와 관련된 열처리유니트의 효과를 확인하기 위하여 실시한 측정에 관하여 설명한다.
기판(G)에 열처리할 때의 정전기 발생상황과 기판온도균일성을 조사하기 위하여 다음과 같은 측정을 실시하였다.
먼저, 기판온도의 균일성을 측정하는 조건으로서는 온도 23.5℃와 습도 59%의 환경을 가진다. 열처리(postbake)의 조건으로서는 열처리반 설정온도 139℃로 된 상태에서 리프트핀가 4㎜ 돌출된 높이로 50초 동안 유지하고 그 후 리프트핀의 돌출 높이를 0㎜로 하강시켜, 이 상태로 110초 동안 유지하였다. 이 측정의 타이밍차트와 도 10과 같다.
또, 열처리반의 윗면에 배치한 핀의 갭, 즉 열처리반의 윗면으로부터 편의 정점까지의 높이는 0.2㎜로 하고, 핀의 배치는 도 6에 나타낸 바와 같이 마름모형을 형성하는 9개소로 하였다. 열처리반 위에 기판(G)을 흡착시킬 때에 있어 진공펌프의 진공도는 진공펌프의 원래의 압력으로서는 5㎪이고, 센서로 검출된 진공도는 3.2∼4.8㎪이었다.
측정 시료(試料)로서는 Cr막을 형성한 것 유리기판 및 SiNx막을 형성하는 것의 3종류의 기판을 사용하였다. 이들 기판에 K형 열전대(熱電對)를 폴리이미드(polyimide)테이프를 이용하여 부착시켜, ADVANTEST사가 제조한 R7430형식의 DATA LOGER 측정장치를 가지고 측정하였다. 측정대상은 도 12에 나타낸 바와 같이 기판 면내 9점이다. 결과를 도 13 ∼ 도 18에 나타내었다.
도 13은 Cr막을 형성한 기판에 핀을 매개로 하여 가열처리할 때의 승온상태를 나타내는 도면이고, 도 14는 동 기판을 가열초기의 10초 동안 진공흡착하여 가열처리할 때의 승온상태를 나타내는 도면이다.
이하, 도 15는 유리기판을 비접촉 상태에서 가열처리할 때의 승온상태를 나타내는 그림이고, 도 16은 동 기판을 가열초기에 진공흡착하여 가열처리할 때의 승온상태를 나타내는 그림이다.
도 17은 SiNx막을 형성한 기판을 비접촉 상태에서 가열처리할 때의 승온상태를 나타내는 그림이고, 도 18은 동 기판을 가열초기에 진공흡착하여 가열처리할 때의 승온상태를 나타내는 그림이다.
도 13과 도 14를 비교하면, 도 13에서는 80초에서부터 140초에 걸쳐서 서서히 승온하고 있지만, 도 14에서는 90초의 시점에서 각 측정점의 온도가 130℃이상에 도달하여 그 후에는 180초가 될 때가지 고온영역에서 안정되고 있다. 이와 같이, 초기의 시점에서 진공흡착시키는 것이 승온속도 및 승온균일성이 높다는 것을 알 수 있다.
도 15와 도 16을 비교하면, 실질적인 차이는 거의 보이지 않는다. 여기서, 초기 진공흡착의 유무는 유리자체에 대하여 거의 영향을 끼치지 않는 것을 알 수 있다.
도 17과 도 18을 비교하면, 도 17에서는 90초 부근에서 각 측정점의 온도상승이 수습되어 120초로 될 때까지 서서히 온도안정영역으로 들어가고 있다. 이에 대하여 도 18에서는, 80초 부근에서 각 측정점의 온도상승이 수습되어 90초의 시점에서 이미 온도안정영역에 들어가 있다. 이와 같이 초기의 시점에서 진공흡착시키는 것이 승온속도 및 승온균일서이 높다는 것을 알 수 있다.
다음, 기판에 발생하는 정전기에 대해서 측정하였다.
정전기 측정에 관하여는, 시료로서 Cr막을 형성하는 기판을 사용하여 온도 23.5℃와 습도 59%의 환경에서 측정하였다. 측정장치로서는 Hugle사가 제조한 MODEL 720형식의 표면 전위계(表面電位計)를 사용하여 기판 중심의 1점에 관하여 측정하였다.
측정조건으로서는 9개의 핀을 배치한 열처리반 위에 기판을 재치한 상태에서 ①열처리 초기 15초간 -9.2㎪로써 진공흡착한 후에 제전처리를 실시한 것, ②열처리 초기 15초간 -9.2㎪로써 진공흡착한 것, ③열처리공정의 전(全) 기간 동안 -62.4㎪로서 진공흡착한 것의 3가지에 대하여 측정하였다.
도 19는 상기 ①∼③의 처리후에 측정한 기판의 전위를 나타낸 그래프이다.
도 19에 나타낸 바와 같이, 기판과 열처리반을 시종 접촉시킨 상태에서 열처리한 ③의 기판 전위가 -8㎸의 고전위로 마찰대전하고 있는 것에 비하여, 초기만 진공흡착시킨 ①과 ②에서는 0∼2㎸로서 마찰대전량이 극히 낮은 것을 알 수 있다.
이하, 본 발명의 제 2실시형태에 관하여 설명한다. 여기서는 상기 제 1의 실시형태와 중복되는 부분에 관하여는 설명을 생략한다.
도 20 및 도 21에서는 본 실시형태와 관련된 열처리유니트의 열처리반(58)에 기판을 재치한 상태를 부분적으로 확대시킨 수직단면도이다.
본 실시형태의 열처리유니트에서는 열처리반(58)을 관통하는 관통공을 매개로 하여 수직방법으로 이동하여 열처리반과 피처리기판을 근접시키거나 서로 떨어지게 하는 이동수단, 예를들어 리프터(L)를 설치하고 이 리프트(L)를 상하로 이동시킴에 의해 기판(G)과 열처리반(58)을 근접거리에서 서로 접촉하거나 떨어지게 하였다.
즉, 열처리공정 개시 직후의 기판(G) 온도와 처리온도의 차가 클 때는, 도 20에 나타낸 바와 같이 리프트(L)를 열처리반(58)의 윗면보다 낮은 위치에 대기시켜 둔다. 기판(G)의 온도가 열처리반의 온도에 거의 도달한 후에는, 도 21에 나타낸 바와 같이 리프트(L)를 상하로 이동시켜 열처리반(58)의 윗면으로부터 적당한 거리, 예를들어 0.2∼0.3㎜로 돌출시킨다. 이와 같이 함에 의해, 기판(G)을 신속하게 또 균일하게 열처리함과 동시에 기판(G)의 정전파괴를 미연에 방지할 수 있다.
본 실시형태의 열처리유니트에서는 리프터를 사용하여 기판과 열처리반(58)을 서로 접촉하거나 떨어지게 하기 때문에, 이 동작을 확실하게 행할 수 있다. 특히 접촉하는 시간 떨어지게 하는 시간등을 정확히 제어할 수 있기 때문에 고정도(高精度)의 관리가 가능하다. 특히, 기판(G)을 휘어지게 하는 일이 없기 때문에 불필요한 스트레스를 주는 일이 없고, 기판(G)의 품질을 손상시키는 염려가 없다고 하는 이점이 있다.
덧붙여 말하면, 본 실시형태에서 사용하는 리프트(L)를 가지고 리프트핀(62,62)을 미소한 거리만을 이동하게 함으로써 기판(G)과 열처리반(58)을 서로 접촉시키거나 떨어지게 하는 것도 가능하다.
이하, 본 발명의 제 3의 실시형태에 관하여 설명한다. 여기에서는, 상기 제 1, 제 2의 실시형태와 중복되는 부분에 관해서는 설명을 생략한다.
도 22는 본 실시형태와 관련된 열처리반(58)의 평면도이고, 도 23 및 도 24는 본 실시형태와 관련된 열처리유니트의 열처리반(58)에 기판(G)을 재치한 상태를 부분적으로 확대한 수직단면도이다.
본 실시형태의 열처리유니트에서는 열처리반(58)의 윗면에 적당한 위치, 예를들어 열처리반(58) 위에 재치한 기판의 꼭지점, 각변의 중심점 및 대각선의 교차점의 9개소에 원주형의 요부를 설치하였다. 그리고, 이 요부에 꼭 들어 맞는 형상의 원주형 압전소자(壓電素子)를 이용한 변형 핀(93')을 배치하고, 외부로 부터 이 변형 핀(93')에 구동전압을 공급하도록 배선하였다.
본 실시형태의 열처리유니트에서는 상기 제 2실시형태의 리프트(L) 대신에 이 변형 핀(93')을 이용하여, 이 변형 핀(93', 93'…)에 전압을 가하여 상하로 움직이게 함으로써 기판(G)가 열처리반(58)을 서로 접촉시키거나 떨어지게 하였다.
즉, 열처리공정 개시직후의 기판 온도와 처리온도의 차이가 큰 때에는 도 23과 같이 변형 핀에 전압을 가하지 않고 빈형 핀(93', 93'…)을 변형시키는 일 없이 열처리반(58)의 윗면보다 낮은 위치에 대기시켜 둔다.
기판(G)의 온도가 처리온도에 거의 도달한 후에는, 도 24와 같이 전압을 가하여 변형 핀을 변형시켜 상하로 늘려, 열처리반(58)의 윗면으로부터 적당한 거리, 예를들어 0.2∼0.3㎜ 돌출시킨다. 이와 같이 함으로써, 기판(G)을 신속하게 또 균일하게 열처리함과 동시에 기판(G)의 정전파괴를 미연에 방지할 수 있다.
본 실시형태의 처리유니트에서는 압전소자를 이용한 변형 핀(93', 93'…)을 이용하여 기판(G)과 열처리반(58)을 서로 접촉시키거나 떨어뜨리기 때문에, 이 동작을 확실하게 행할 수 있다. 특히 접촉하는 시간 떨어지게 하는 시간등을 정확히 제어할 수 있기 때문에 고정도(高精度)의 관리가 가능하다. 특히 기판(G)을 휘어지게 하는 일이 없기 대문에 불필요한 스트레스를 주는 일이 없고 기판(G)의 품질을 손상시키는 염려가 없다고 하는 이점이 있다.
또 구조가 간단하여 제조비용을 크게 증대시키는 일도 없다고 하는 이점이 있다.
이상에서 상술한 바와 같이 지지체로 피처리기판을 지지하고, 흡인수단에 의해 열처리 개시직후의 이동열량이 큰 시기에는 상기 피처리기판과 상기 열처리반을 접촉시키는 한편, 피처리기판으로의 열량의 이동량이 저하된 후에는 상기 피처리기판과 상기 열처리반을 서로 떨어지게 할 수 있다. 이로 인해, 단시간내에 균일하게 가열할 수 있고, 정전파괴를 방지할 수 있다.
본 발명에 의하면, 상기 열처리반과 상기 피처리기판을 근접 및 또는 이간(離間)시키는 이동재치(移動載置)수단으로 피처리기판을 지지하고, 흡인수단에 의해 열처리 개시직후의 이동열량이 큰 시기에는 상기 피처리기판과 상기 열처리반을 접촉시키는 한편, 피처리기판으로의 열량의 이동량이 감소된 후에는 상기 피처리기판과 상기 열처리반을 서로 떨어지게 할 수 있다. 즉, 열처리 개시직후의 이동열량이 큰 때에는 진공을 크게 작용시켜 상기 피처리기판과 상기 열처리반을 접촉시킨다. 그러면, 피처리기판 자체의 강성(剛性)에 의해 똑바로 펴지려고 하기 때문에, 상기 피처리기판과 상기 열처리반이 서로 떨어지게 된다. 이 때문에 단시간내에 균일하게 가열할 수 있고, 정전파괴를 방지할 수 있다. 또 지지체를 생략할 수 있기 때문에 더욱더 장치의 경량화를 꾀할 수 있다.
본 발명에 의하면, 상기 피처리기판에 대한 흡인영역은 복수영역 및 또는 주변부의 적어도 일부 영역이다. 따라서, 기판을 지지하여, 흡인수단을 가지고 열처리 개시직후의 이동열량이 큰 시기에는 피처리기판과 열처리반 사이의 진공도를 높게 하여 피처리기판과 열처리반을 서로 접촉시키고, 열처리반으로부터 피처리기판으로의 열량의 이동을 촉진시킨다. 한편 피처리기판으로의 열량 이동량이 저하된 후에는 상기 진공도를 저하시켜 피처리기판과 열처리반을 서로 떨어지게 할 수 있어, 정전기의 발생을 방지시킨다. 따라서 단시간내에 균일하게 가열할 수 있고, 정전파괴를 방지시킬 수 있다.
본 발명에 의하면, 상기 복수의 지지체 또는 이동재치수단은, 상기 열처리반 위에 재치된 피처리기판 각 변의 중심점을 연결하여 마름모형을 형성하는 위치에 배치, 및 또는 상기 열처리반 위에 재치된 피처리기판의 4 꼭지점과 각 변의 중심점의 위치에 배치되어 있다. 이 때문에 상기 피처리기판과 상기 열처리반 사이에 작용하는 진공의 진공도를 제어함으로써 상기 피처리기판과 상기 열처리반을 서로 적절하게 접촉시키거나 떨어지게 할 수 있어, 단시간내에 균일히 가열함과 동시에 정전파괴를 방지할 수 있다.
본 발명에 의하면, 상기 지지체 또는 이동재치수단에 의하여 피처리기판과 상기 열처리반이 서로 떨어진 거리는 0.2∼0.3㎜이다. 이 때문에 상기 피처리기판과 상기 열처리반 사이에 작용하는 진공의 진공도를 제어함으로써 상기 피처리기판과 상기 열처리반을 서로 적절하게 접촉시키거나 떨어지게 할 수 있어, 단시간내에 균일히 가열함과 동시에 정전파괴를 방지할 수 있다.
본 발명에 의하면, 흡인수단의 흡인량을 조절하는 흡인량조절수단을 더 구비하고, 이 흡인량조절수단에 의해 상기 피처리기판을 상기 열처리반에 접촉시키거나, 또는 소정의 간격으로 유지하여 상기 피처리기판을 처리하도록 구성되어있다. 이 때문에 상기 피처리기판과 상기 열처리반 사이에 작용하는 진공의 진공도를 제어함에 의해 상기 피처리기판과 상기 열처리반을 서로 적절하게 접촉시키거나 떨어지게 할 수 있어 단시간내에 균일히 가열함과 동시에 정전파괴를 방지할 수 있다.
본 발명에 의하면, 상기 피처리기판의 주변부보다 중앙부가 상기 피처리기판과 상기 열처리반 사이의 간격이 크게 되도록 설정하여 피처리기판의 처리가 실시된다. 따라서 단 시간내에 균일하게 가열함과 동시에 정전파괴를 방지시킬 수 있다.
본 발명에 의하면, 상기 복수의 지지체 또는 이동재치수단은 소정의 전위(電位)로 설정된다. 이 때문에 피처리기판 뒷면에 발생된 전하를 지지체 또는 이동 재치수단으로 이동시킬 수 있다. 따라서 단시간내에 균일하게 가열함과 동시에 정전파괴를 방지시킬 수 있다.
본 발명에 의하면, 피처리기판의 주변부보다 중앙부가 상기 피처리기판과 상기 열처리반 사이의 간격이 크게 되도록 설정하여 피처리기판의 처리가 실시된다. 이 때문에 피처리기판 중앙부에 비하여 열이 피처리기판의 외부로 빠지기 쉬운 피처리기판 주변부, 특히 피처리기판의 모서리부에 상대적으로 많은 열량을 공급함으로써, 기판(G)전체에 걸쳐 균일하게 열처리를 실시할 수 있다. 따라서, 단시간내에 균일하게 가열함과 동시에 정전파괴를 방지시킬 수 있다.
본 발명에 의하면, 피처리기판을 열처리반(피처리기판을 가열함)에 대하여 요철상(凹凸狀)으로 변형 설정하여 피처리기판의 열처리를 실시한다. 이 때문에 상기 피처리기판과 상기 열처리반 사이에 작용하는 진공의 진공도를 제어함으로써, 상기 피처리기판과 상기 열처리반을 서로 적절하게 접촉시키거나 떨어지게 할 수 있어, 단시간내에 균일히 가열함과 동시에 정전파괴를 방지할 수 있다.
본 발명에 의하면, 피처리기판을 열처리반(피처리기판을 가열함)에 대하여 부분적으로 접촉시켜 피처리기판의 열처리가 실시된다. 이 때문에 상기 피처리기판과 상기 열처리반 사이에 작용하는 진공의 진공도를 제어함으로써, 상기 피처리기판과 상기 열처리반을 서로 적절하게 접촉시키거나 떨어지게 할 수 있어, 피처리기판을 단시간내에 균일하게 가열함과 동시에 정전파괴를 방지할 수 있다.
본 발명에 의하면, 피처리기판과 열처리반을 소정의 간격으로 접근시키는 공정과, 상기 피처리기판과 상기 열처리반 사이의 간격을 부분적으로 적어도 한 영역에 있어서 변화시키는 열처리공정을 구비한다. 이 때문에 상기 피처리기판과 상기 열처리반 사이에 작용하는 진공의 진공도를 제어함으로써 상기 피처리기판과 상기 열처리반을 서로 적절하게 접촉시키거나 떨어지게 할 수 있어, 피처리기판을 단시간내에 균일히 가열함과 동시에 정전파괴를 방지할 수 있다.
본 발명에 의하면, 상기 열처리를 행하기 전의 공정으로서 상기 열처리에 있어서의 열처리기판과 열처리반 상이의 간격보다 실질적으로 큰 간격을 설정하여 미리 열처리하는 보조열처리공정을 실시한다. 예비적으로 가열함으로써, 피처리기판의 앞면과 뒷면의 온도차를 없애고, 온도차에 의해 피처리기판의 앞뒤가 서로 다르게 늘어나는 현상을 억제하여 피처리기판의 휘어짐을 감소키고, 피처리기판과 열처리반 사이의 간격을 일정하게 하고, 그 후 피처리기판을 열처리반에 흡착가능하도록 한다. 따라서 단시간내에 균일하게 가열함과 동시에 정전파괴를 방지시킬 수 있다.
본 발명에 의하면, 상기 열처리를 행하기 전 및 또는 열처리 중 및 또는 열처리 후에 있어서, 피처리기판에 대하여 제전(除電)을 행하는 제전공정을 구비하고 있다. 피처리기판의 전하를 제전하기 때문에 정전파괴를 방지시킬 수 있다.

Claims (17)

  1. 피처리기판을 가열하는 열처리반과,
    이 열처리반의 피처리기판 재치면측에 복수로 배치되어, 열처리반으로부터 소정의 간격을 띄워 피처리기판을 지지하는 지지체와,
    상기 피처리기판의 상기 지지체에 의해 지지되는 지지영역 이외의 영역에 있어서, 상기 피처리기판을 흡인력에 의해 상기 열처리반측에 자유롭게 접촉되도록 하는 흡인수단을 구비하는 것을 특징으로 하는 열처리장치.
  2. 청구항 1에 기재된 열처리장치에 있어서,
    상기 흡인수단의 상기 피처리기판에 대한 흡인영역은, 복수 영역 및/또는, 주변부의 적어도 일부 영역인 것을 특징으로 하는 열처리장치.
  3. 청구항 1에 기재된 열처리장치에 있어서,
    상기 복수의 지지체 또는 이동재치(移動載置)수단은, 상기 열처리반 위에 재치된 피처리기판의 각 변 중심부을 연결하여 마름모 모양을 형성하는 위치에 배설 및 또는 상기 열처리반 위에 재치된 피처리기판의 각 모서리와 각 변 중심의 위치에 배설되어 있는 것을 특징으로 하는 열처리장치.
  4. 청구항 1에 기재된 열처리장치에 있어서,
    흡인수단의 흡인량을 조절하는 흡인량조절수단을 더 구비하고, 이 흡인량조절수단에 의해 상기 피처리기판을 상기 열처리반에 접촉시키거나 또는 소정의 간격을 유지시켜 상기 피처리기판을 처리하도록 구성된 것을 특징으로 하는 열처리장치.
  5. 청구항 1에 기재된 열처리장치에 있어서,
    상기 피처리기판의 주변부보다 중앙부쪽을 상기 피처리기판과 상기 열처리기반의 간격이 크게 되도록 설정하여, 피처리기판의 처리가 실시되도록 하는 것을 특징으로 하는 열처리장치.
  6. 청구항 1에 기재된 처리장치에 있어서,
    상기의 지지체 또는 이동재치수단은 소정의 전위(電位)로 설정되는 것을 특징으로 하는 열처리장치.
  7. 청구항 3에 기재된 처리장치에 있어서,
    상기 지지체 또는 이동재치수단에 의한 피처리기판과 상기 열처리반과의 간격은 0.2㎜∼0.3㎜인 것을 특징으로 하는 열처리장치.
  8. 피처리기판을 가열하는 열처리반과,
    상기 피처리기판을 복수의 장소에서 지지하고, 상기 열처리반과 상기 피처리기판을 근접 및 또는 이간(離間)시키는 이동재치수단과,
    이 이동재치수단에 의해 지지된 상태에서, 피처리기판을 흡인력에 의해 상기 열처리반측에 자유롭게 접촉되도록 하는 흡인수단을 구비하는 것을 특징으로 하는 열처리장치.
  9. 청구항 8에 기재된 열처리장치에 있어서,
    상기 흡인수단의 상기 피처리기판에 대한 흡인영역은, 복수 영역 및/또는, 주변부의 적어도 일부 영역인 것을 특징으로 하는 열처리장치.
  10. 청구항 8에 기재된 열처리장치에 있어서,
    상기 복수의 지지체 또는 이동재치(移動載置)수단은 상기 열처리반 위에 재치된 피처리기판의 각 변 중심부를 연결하여 마름모 모양을 형성하는 위치에 배치 및 또는 상기 열처리반 위에 재치된 피처리기판의 각 변 중심의 위치에 배치되어 있는 것을 특징으로 하는 열처리장치.
  11. 청구항 8에 기재된 열처리장치에 있어서,
    흡인수단의 흡인량을 조절하는 흡인량조절수단을 더 구비하고, 이 흡인량조절수단에 의해 상기 피처리기판을 상기 열처리반에 접촉시키거나 또는 소정의 간격을 유지시켜 상기 피처리기판을 처리하도록 구성된 것을 특징으로 하는 열처리장치.
  12. 청구항 8에 기재된 열처리장치에 있어서,
    상기 피처리기판의 주변부보다 중앙부쪽을 상기 피처리기판과 상기 열처리기반의 간격이 크게 되도록 설정하여, 피처리기판의 처리가 실시되도록 하는 특징으로 하는 열처리장치.
  13. 청구항 8에 기재된 처리장치에 있어서,
    상기의 지지체 또는 이동재치수단은 소정의 전위(電位)로 설정되는 것을 특징으로 하는 열처리장치.
  14. 청구항 10에 기재된 처리장치에 있어서,
    상기 지지체 또는 이동재치수단에 의한 피처리기판과 상기 열처리반과의 간격은 0.2㎜∼0.3㎜인 것을 특징으로 하는 열처리장치.
  15. 피처리기판과 열처리반을 소정의 간격으로 근접시켜, 피처리기판의 주변부보다 중앙부쪽을 상기 피처리기판과 상기 열처리기반의 간격이 크게 되도록 설정하거나, 피처리기판을 피처리기판을 가열하는 열처리반에 대해 요철상(凹凸狀)으로 변형 설정하거나, 피처리기판을 피처리기판을 가열하는 열처리반에 대해 부분적으로 접촉하도록 설정하거나, 상기 피처리기판과 상기 열처리반 사이의 간격을 부분적으로 적어도 한 영역에 있어서 변화시켜 설정하여, 피처리기판의 열처리가 실시되는것을 특징으로 하는 열처리방법.
  16. 청구항 15에 기재된 열처리방법에 있어서,
    상기 열처리 실시 전의 공정으로서, 상기 열처리 실시의 피처리기판과 열처리반과의 간격보다 실질적으로 큰 간격을 설정하여 미리 열처리하는 보조열처리공정을 구비하는 것을 특징으로 하는 열처리방법.
  17. 청구항 15에 기재된 열처리방법에 있어서,
    상기 열처리 실시 전 및/또는 열처리 중 및/또는 열처리 후에 피처리기판에 대하여 제전을 실시하는 제전공정(除電工程)을 구비하는 것을 특징으로 하는 열처리방법.
KR10-1999-0024254A 1998-06-25 1999-06-25 열처리장치 및 열처리방법 KR100467916B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP17911498A JP3333135B2 (ja) 1998-06-25 1998-06-25 熱処理装置及び熱処理方法
JP98-179114 1998-06-25

Publications (2)

Publication Number Publication Date
KR20000006469A true KR20000006469A (ko) 2000-01-25
KR100467916B1 KR100467916B1 (ko) 2005-01-24

Family

ID=16060265

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-0024254A KR100467916B1 (ko) 1998-06-25 1999-06-25 열처리장치 및 열처리방법

Country Status (4)

Country Link
US (1) US6129546A (ko)
JP (1) JP3333135B2 (ko)
KR (1) KR100467916B1 (ko)
TW (1) TW417185B (ko)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4343326B2 (ja) 1999-05-14 2009-10-14 キヤノン株式会社 基板搬送装置および露光装置
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US7341641B2 (en) * 2002-03-20 2008-03-11 Lg.Philips Lcd Co., Ltd. Bonding device for manufacturing liquid crystal display device
JP2003338499A (ja) * 2002-05-20 2003-11-28 Tokyo Electron Ltd 膜形成方法及び膜形成装置
AU2003248121A1 (en) * 2002-07-25 2004-02-16 Tokyo Electron Limited Substrate processing container
US7452712B2 (en) 2002-07-30 2008-11-18 Applied Biosystems Inc. Sample block apparatus and method of maintaining a microcard on a sample block
KR100574058B1 (ko) * 2004-08-20 2006-04-27 삼성전자주식회사 웨이퍼 베이크 장치
US7699021B2 (en) 2004-12-22 2010-04-20 Sokudo Co., Ltd. Cluster tool substrate throughput optimization
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
US7819079B2 (en) 2004-12-22 2010-10-26 Applied Materials, Inc. Cartesian cluster tool configuration for lithography type processes
US7798764B2 (en) 2005-12-22 2010-09-21 Applied Materials, Inc. Substrate processing sequence in a cartesian robot cluster tool
US7651306B2 (en) 2004-12-22 2010-01-26 Applied Materials, Inc. Cartesian robot cluster tool architecture
KR101089096B1 (ko) * 2004-12-28 2011-12-06 엘지디스플레이 주식회사 노광장치용 척
JP4421501B2 (ja) * 2005-03-25 2010-02-24 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
KR101255048B1 (ko) 2005-04-01 2013-04-16 에프에스아이 인터내쇼날 인크. 하나 이상의 처리 유체를 이용하여 마이크로일렉트로닉 워크피이스를 처리하는데 이용되는 장치용 배리어 구조 및 노즐 장치
KR100922801B1 (ko) * 2005-06-20 2009-10-21 엘지디스플레이 주식회사 액정표시장치의 제조 장치 및 액정표시장치 제조 방법과 이를 위한 액정표시장치 제조 공정용 경화 장치
JP2007158077A (ja) * 2005-12-06 2007-06-21 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US8003919B2 (en) * 2005-12-06 2011-08-23 Dainippon Screen Mfg. Co., Ltd. Substrate heat treatment apparatus
JP4827569B2 (ja) * 2006-03-23 2011-11-30 大日本スクリーン製造株式会社 基板支持構造とこれを用いた熱処理装置と基板支持構造に用いられるシート状物と基板支持構造の製造方法
CN104319249B (zh) * 2006-07-07 2017-11-07 Tel Fsi股份有限公司 用于处理微电子工件的设备
KR101060664B1 (ko) * 2007-08-07 2011-08-31 에프에스아이 인터내쇼날 인크. 하나 이상의 처리유체로 전자소자를 처리하는 장비의 배리어 판 및 벤튜리 시스템의 세정방법 및 관련 장치
US7900579B2 (en) * 2007-09-26 2011-03-08 Tokyo Electron Limited Heat treatment method wherein the substrate holder is composed of two holder constituting bodies that move relative to each other
KR20110005699A (ko) 2008-05-09 2011-01-18 에프에스아이 인터내쇼날 인크. 개방 동작 모드와 폐쇄 동작 모드사이를 용이하게 변경하는 처리실 설계를 이용하여 마이크로일렉트로닉 워크피이스를 처리하는 공구 및 방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2010147503A (ja) 2010-03-15 2010-07-01 Canon Anelva Corp 基板搭載装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9859145B2 (en) * 2013-07-17 2018-01-02 Lam Research Corporation Cooled pin lifter paddle for semiconductor substrate processing apparatus
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7473147B2 (ja) * 2019-09-13 2024-04-23 株式会社九州日昌 加熱装置および加熱方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112518323A (zh) * 2020-11-25 2021-03-19 武汉耀皮康桥汽车玻璃有限公司 一种汽车后挡风玻璃加工装置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240043474A (ko) 2022-09-27 2024-04-03 세메스 주식회사 열 처리 장치, 그 동작 방법, 및 포토 스피너 설비

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2889926B2 (ja) * 1989-10-20 1999-05-10 東京エレクトロン株式会社 基板の加熱処理方法及び加熱処理装置
JP2806650B2 (ja) * 1991-08-19 1998-09-30 東京エレクトロン株式会社 温度調整装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5738165A (en) * 1993-05-07 1998-04-14 Nikon Corporation Substrate holding apparatus
JP3165938B2 (ja) * 1993-06-24 2001-05-14 東京エレクトロン株式会社 ガス処理装置
TW277139B (ko) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
TW254030B (en) * 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
JP3052116B2 (ja) * 1994-10-26 2000-06-12 東京エレクトロン株式会社 熱処理装置

Also Published As

Publication number Publication date
KR100467916B1 (ko) 2005-01-24
US6129546A (en) 2000-10-10
JP3333135B2 (ja) 2002-10-07
JP2000012447A (ja) 2000-01-14
TW417185B (en) 2001-01-01

Similar Documents

Publication Publication Date Title
KR100467916B1 (ko) 열처리장치 및 열처리방법
US20070257085A1 (en) Heat processing method, computer-readable storage medium, and heat processing apparatus
US6599366B1 (en) Substrate processing unit and processing method
KR100292321B1 (ko) 처리장치및처리방법
JP2000150333A (ja) 基板熱処理装置
US6126338A (en) Resist coating-developing system
JP4267809B2 (ja) 基板の処理装置及び処理方法
JP2006237262A (ja) 加熱処理装置
KR20180006710A (ko) 기판 처리 장치
US10541161B2 (en) Apparatus and method for treating substrate
US6456480B1 (en) Processing apparatus and a processing method
JP3874960B2 (ja) 基板処理装置
KR102516725B1 (ko) 베이크 장치 및 이를 이용한 기판 처리 방법
JP3619876B2 (ja) 加熱処理装置
JPH09148417A (ja) 基板熱処理装置
KR20200042255A (ko) 가열 플레이트 냉각 방법과 기판 처리 장치 및 방법
KR20190080326A (ko) 기판 처리 장치 및 방법
JP2007324168A (ja) 熱処理装置
JP4024980B2 (ja) 加熱処理方法及び加熱処理装置
JP2001232270A (ja) 膜形成装置
KR101985754B1 (ko) 공조 장치 및 그것을 갖는 기판 처리 장치
JPH10326767A (ja) 処理装置および処理方法
KR101768518B1 (ko) 반송 챔버, 기판 처리 설비, 그리고 기판 반송 방법
JP3822752B2 (ja) 処理装置
KR20190034725A (ko) 기판 지지 유닛, 기판 처리 장치, 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121227

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20131218

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20161221

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180104

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee