KR20170095150A - 기판 프로세싱 시스템의 플라즈마에서 전자 밀도 레벨들을 상승시키기 위한 시스템 및 방법 - Google Patents

기판 프로세싱 시스템의 플라즈마에서 전자 밀도 레벨들을 상승시키기 위한 시스템 및 방법 Download PDF

Info

Publication number
KR20170095150A
KR20170095150A KR1020170018549A KR20170018549A KR20170095150A KR 20170095150 A KR20170095150 A KR 20170095150A KR 1020170018549 A KR1020170018549 A KR 1020170018549A KR 20170018549 A KR20170018549 A KR 20170018549A KR 20170095150 A KR20170095150 A KR 20170095150A
Authority
KR
South Korea
Prior art keywords
gas
substrate processing
positive charge
processing chamber
additional positive
Prior art date
Application number
KR1020170018549A
Other languages
English (en)
Inventor
콰메 이슨
제임스 유진 카론
이블린 앤젤로브
박준홍
덩리앙 양
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170095150A publication Critical patent/KR20170095150A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/065Source emittance characteristics
    • H01J2237/0656Density
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

시스템이 제공되고, 시스템은 기판 프로세싱 챔버, 하나 이상의 주입기들, 및 제어기를 포함한다. 하나 이상의 주입기들은 기판 프로세싱 챔버 내로 음전기 가스 (electronegative gas), 기준 양전기 가스 (baseline electropositive gas), 및 부가적인 양전기 가스를 주입한다. 음전기 가스는 에칭 전구체를 포함한다. 부가적인 양전기 가스는 기판 프로세싱 챔버 내 플라즈마와 혼합하고, 기판 프로세싱 챔버 내 플라즈마의 전자 밀도를 상승시킨다. 제어기는 음전기 가스의 압력 또는 부가적인 양전기 가스의 전자 친화도 레벨 중 적어도 하나에 기초하여 부가적인 양전기 가스의 양, 플로우 레이트 또는 압력을 설정하도록 구성된다.

Description

기판 프로세싱 시스템의 플라즈마에서 전자 밀도 레벨들을 상승시키기 위한 시스템 및 방법{SYSTEM AND METHOD FOR INCREASING ELECTRON DENSITY LEVELS IN A PLASMA OF A SUBSTRATE PROCESSING SYSTEM}
본 개시는 기판 프로세싱에, 그리고 보다 구체적으로는 기판 프로세싱 시스템에서 플라즈마의 전자 밀도 레벨들을 제어하는 것에 관한 것이다.
본 명세서에서 제공된 본 배경기술 설명은 본 개시의 문맥을 일반적으로 보여 주기 위한 목적이다. 본 배경기술 절에 설명되어 있는 정도까지의 현재 지명된 발명자들의 작업뿐만 아니라, 출원 당시의 종래기술로서 달리 인정되지 않을 수도 있는 기술의 양태들은, 본 개시에 대하여 종래기술로서 명시적 또는 묵시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판상에 막을 에칭하기 위해 사용될 수도 있다. 기판 처리 시스템들은 전형적으로 기판 프로세싱 챔버, 가스 분배 디바이스 및 기판 지지부를 포함한다. 프로세싱 동안, 기판은 기판 지지부 상에 배열된다. 상이한 가스 혼합물들이 기판 프로세싱 챔버 내로 도입되고 RF (radio frequency) 플라즈마가 화학적 반응들을 활성화시키기 위해 생성될 수도 있다. RF 플라즈마는 ICP (inductively coupled plasma) 또는 TCP (transformer coupled plasma) 를 포함할 수도 있다. ICP 또는 TCP는 플라즈마 소스의 하나 이상의 코일들을 충전하도록 공급되고 있는 전류의 결과로 플라즈마 소스에 의해 제공될 수도 있다. 플라즈마 소스는 기판 프로세싱 챔버의 상부 부분 및 하나 이상의 코일들을 포함한다.
질소 트리플루오라이드 (NF3) 와 같은 음전기 (electronegative) 가스들이 실리콘 나이트라이드 (SiN) 로 형성될 수도 있는 반도체 막들을 에칭하기 위해 사용될 수도 있다. 음전기의 가스들의 사용은 기판 프로세싱 챔버의 상부 부분 내부에 불균일한 플라즈마 밀도들 (또는 불량한 플라즈마 균일도) 에 의해 야기된 불균일한 에칭을 발생시킬 수 있다 (result in). 불량한 플라즈마 균일도는 플라즈마 소스의 코일들 근처의 전자들의 국부화된 생성 (localized generation) 및 전자들을 대체하는 음이온들의 높은 농도에 기인한다. 불량한 플라즈마 균일도를 개선하기 위해서, 음전기 가스들의 압력 및/또는 플로우 레이트가 감소될 수도 있다. 그러나, 플로우 레이트 (flow rate) 및/또는 압력의 감소는 에칭 프로세스의 전반적인 퍼포먼스 (overall performance) 를 감소시킬 수 있다.
시스템이 제공되고 시스템은 기판 프로세싱 챔버, 하나 이상의 주입기들, 및 제어기를 포함한다. 하나 이상의 주입기들은 음전기 (electronegative) 가스, 기준 양전기 (baseline electropositive) 가스, 및 부가적인 양전기 가스를 기판 프로세싱 챔버 안으로 주입한다. 음전기 가스는 에칭 전구체 (etch precursor) 를 포함한다. 부가적인 양전기 가스는 기판 프로세싱 챔버 내의 플라즈마와 혼합하고 기판 프로세싱 챔버 내의 플라즈마의 전자 밀도를 증가시킨다. 제어기는 음전기 가스의 압력 또는 부가적인 양전기 가스의 전자 친화도 레벨 중 적어도 하나에 기초하여 부가적인 양전기 가스의 양, 플로우 레이트 또는 압력을 설정하도록 구성된다.
다른 특징들에서, 방법이 제공되고 방법은 기판 프로세싱 챔버 내부의 기판 지지부 상에 기판을 배열하는 단계; 및 음전기 가스, 기준 양전기 가스, 및 부가적인 양전기 가스를 기판 프로세싱 챔버 안으로 주입하는 단계, 음전기 가스는 에칭 전구체를 포함하고, 부가적인 양전기 가스는 기판 프로세싱 챔버 내의 플라즈마와 혼합하고 기판 프로세싱 챔버 내의 플라즈마의 전자 밀도를 증가시킨다. 이 방법은 음전기 가스, 기준 양전기 가스, 및 부가적인 양전기 가스를 기판 프로세싱 챔버 안으로 주입시키는 단계 전에, 음전기 가스의 압력 또는 부가적인 양전기 가스의 전자친화도 레벨 (electron affinity level) 중 적어도 하나에 기초하여 부가적인 양전기 가스의 양, 플로우 레이트 또는 압력을 설정하는 단계를 더 포함한다. 플라즈마는 그 후에 기판을 에칭하도록 스트라이킹된다 (striked).
본 개시의 부가적인 적용가능성의 분야는 상세한 설명, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 설명 및 구체적인 예들은 단지 예시할 목적으로 의도된 것이고 개시의 범위를 제한하기 위해 의도된 것이 아니다.
본 개시는 상세한 설명 및 첨부된 도면들로부터 더욱 완전히 이해될 것이다.
도 1은 본 개시의 실시예에 따른 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2는 기판 프로세싱 시스템을 동작시키는 예시적인 방법을 예시한다.
도 3은 공급된 양전기 가스들의 상이한 농도 레벨들에 대한 플라즈마 에칭 레이트 균일도 레벨들을 예시하는 일련의 플롯들 (plots) 이다.
도 4는 특정한 원자들의 원자번호에 대한 전자 친화도들의 예시적인 그래프를 도시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수 있다.
관련 출원들의 교차참조
본 출원은 2016년 2월 12일에 출원된 미국 가출원 제 62/294,640 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 본 명세서에서 참조로서 인용된다.
반도체 막들의 개선된 에칭 퍼포먼스 (performance) 를 위해 플라즈마 소스 내의 플라즈마 균일도를 개선하기 위한 예들이 본 명세서에서 개시된다. 예들은 플라즈마 소스의 플라즈마 내부의 전자 밀도들을 증가시키는 것을 포함한다. 부가된 전자들은 음이온들보다 높은 전자들의 이동도 (mobility) 및 보다 작은 질량에 기인하여 플라즈마의 플라즈마를 팽창시키고 (expand) 플라즈마의 전체 균일도를 증가시키는 경향이 있다. 개선된 균일도는 플라즈마의 압력 및/또는 플로우 레이트 (flow rate) 의 감소들 없이 제공된다. 예들은 플라즈마 소스가 미리결정된 압력 (예를 들어, 0.50 Torr) 보다 큰 압력들에서 동작할 때와 음전기 가스들을 사용하는 동안 유리하다.
이제 도 1을 참조하면, 본 개시에 따른 기판의 막 층 (film layer) 을 에칭하기 위한 기판 프로세싱 챔버 (101) 를 가지는 기판 프로세싱 시스템 (100) 의 예가 도시된다. 기판 프로세싱 챔버 (101) 가 ICP 기반 시스템으로 도시되지만, 본 명세서에서 개시된 예들은 TCP 기반 시스템에 적용될 수도 있다. 구체적인 기판 프로세싱 챔버가 도시되고 기술되지만, 본 명세서에서 기술된 방법들은 다른 유형의 기판 프로세싱 시스템들에 구현될 수도 있다.
기판 프로세싱 챔버 (101) 는 하부 챔버 영역 (102) 및 상부 챔버 영역 (104) 을 포함한다. 하부 챔부 영역 (102) 은 측벽 표면들 (108), 챔버 하단 표면 (110) 및 가스 분배 디바이스 (114) 의 하부 표면에 의해 규정된다. 대응하는 코일들 (그 예들은 후술된다) 과 결합한 기판 프로세싱 챔버 (101) 의 상부 부분 (또는 돔) (118) 은 플라즈마 소스로 지칭될 수도 있고 ICP를 제공할 수도 있다. TCP 기반 시스템에서 TCP는 ICP 대신에 제공될 수도 있다.
상부 챔버 영역 (104) 은 가스 분배 디바이스 (114) 의 상부 표면 및 돔 (118) 의 내측 표면에 의해 규정된다. TCP 기반 시스템에서, 돔 (118) 은 원통형 구조 (cylindrical-shaped structure) 로 대체될 수도 있다. 일부 예들에서, 돔 (118) 은 제 1 환형 (annular) 지지부 (121) 에 얹혀 있다 (rest on). 일부 예들에서, 제 1 환형 지지부 (121) 는, 후술될 바와 같이, 프로세스 가스를 상부 챔버 영역 (104) 으로 전달하기 위해 하나 이상의 이격된 홀들 (123) 을 포함한다. 일부 예들에서, 프로세스 가스는 가스 분배 디바이스 (114) 를 포함하는 평면에 대하여 예각으로 위쪽 방향으로 하나 이상의 이격된 홀들 (123) 에 의해 전달되지만, 다른 각도들/방향들이 사용될 수도 있다. 일부 예들에서, 제 1 환형 지지부 (121) 내의 가스 플로우 채널 (134) 은 가스를 (i) 하나 이상의 이격된 홀들 (123), 및/또는 (ii) 상부 챔버 영역 (104) 에서 가스 및/또는 플라즈마와 혼합되도록 가스를 위쪽 (화살표 (138) 로 나타낸) 으로 보내는 (direct) 하나 이상의 채널들 또는 주입기들 (136) 에 공급한다.
제 1 환형 지지부 (121) 는 제 2 환형 지지부 (125) 위에 및/또는 상에 (on) 배치될 수도 있다. 제 2 환형 지지부 (125) 는 프로세스 가스를 가스 플로우 채널 (129) 로부터 하부 챔버 영역 (102) 으로 전달하기 위해 하나 이상의 이격된 홀들 (127) 을 규정한다. 일부 예들에서, 가스 분배 디바이스 (114) 내의 홀들 (131) 은 홀들 (127) 과 정렬된다 (align). 다른 예들에서, 가스 분배 디바이스 (114) 는 보다 작은 직경을 가지며 홀들 (131) 은 필요하지 않다. 일부 예들에서, 프로세스 가스는 가스 분배 디바이스 (114) 를 포함하는 평면에 대하여 예각으로 기판 (126) 을 향해 아래쪽 방향으로 하나 이상의 이격된 홀들 (127) 에 의해 전달되지만, 다른 각도들/방향들이 사용될 수도 있다.
다른 예들에서, 상부 챔버 영역 (104) 은 편평한 상단 표면을 가진 원통형이다. 여전히 다른 예들에서, 단일 챔버가 샤워헤드 (128) 와 기판 지지부 (122) 사이에 위치한 스페이서와 함께 사용될 수도 있다.
기판 지지부 (112) 는 하부 챔버 영역 (102) 에 배열된다. 일부 예들에서, 기판 지지부 (122) 는 정전 척 (ESC : electrostatic chuck) 을 포함하나, 다른 유형들의 기판 지지부들이 사용될 수 있다. 기판 (126) 은 에칭 동안 기판 지지부 (122) 의 상부 표면 상에 배열된다. 일부 예들에서, 기판 (126) 의 온도는 가열 엘리먼트들 (또는 가열기 판) (133), 유체 채널들 및 하나 이상의 센서들 (미도시) 을 가지는 선택가능한 냉각 판에 의해 제어될 수도 있으나, 임의의 다른 적합한 기판 지지부 온도 제어 시스템이 사용될 수도 있다.
일부 예들에서, 가스 분배 디바이스 (114) 는 샤워헤드를 포함한다 (예를 들어, 복수의 이격된 홀들 (129) 을 가지는 판 (128) 이 도시된다). 복수의 이격된 홀들 (129) 은 판 (128) 의 상부 표면으로부터 판 (128) 의 하부 표면으로 연장된다 (extend). 일부 예들에서, 이격된 홀들 (129) 은 0.4”에서 0.75”범위의 직경을 가지고 샤워헤드는 알루미늄과 같은 도전성 재료 또는 도전성 재료로 만들어진 임베딩된 전극 (embedded electrode) 을 가지는 세라믹과 같은 비-도전성 재료로 만들어진다.
하나 이상의 유도 코일들 (140) 은 돔 (118) 의 외측 부분 주변에 배열된다. 에너자이징되면 (energized), 하나 이상의 유도 코일들 (140) 이 돔 (118) 내부에 전자기장을 생성한다. 일부 예들에서, 상부 코일 및 하부 코일이 사용된다. 가스 주입기 (142) 가 가스 전달 시스템 (150-1) 으로부터 하나 이상의 가스 혼합물을 주입한다. 가스 혼합물들 각각은 (i) 하나 이상의 음전기 가스들 및/또는 음전기 가스 화합물들 (compounds), 및 (ii) 하나 이상의 양전기 가스들 및/또는 양전기 가스 화합물들을 포함할 수도 있다.
일부 예들에서, 가스 전달 시스템 (150-1) 은 하나 이상의 가스 소스들 (152), 하나 이상의 밸브들 (154), 하나 이상의 질량 유량 제어기들 (MFCs) (156), 그리고 혼합 매니폴드 (mixing manfold) (158) 를 포함하나, 다른 유형들의 가스 전달 시스템들이 사용될 수도 있다. 가스 스플리터 (splitter) (미도시) 는 가스 혼합물의 플로우 레이트를 가변시키기 위해 사용될 수도 있다. 또 다른 가스 전달 시스템 (150-2) 은 가스 플로우 채널들 (129 및/또는 134) 에 에칭 가스 또는 에칭 가스 혼합물 (가스 주입기 (142) 로부터의 에칭 가스에 더하여 또는 대신하여) 을 공급하기 위해 사용될 수도 있다.
적합한 가스 전달 시스템들은 그 전체가 참조로서 본 명세서에 인용된, “Gas Delivery System”이라는 명칭으로 2015년 12월 4일에 출원되어, 공동으로 양도된 미국 특허 출원 제 14/945,680 호에 도시되고 기술된다. 적합한 단일 또는 이중의 가스 주입기들과 다른 가스 주입 위치들은 그 전체가 참조로서 본 명세서에 인용된, “Substrate Processing System with Multiple Injection Points and Dual Injector” 라는 명칭으로 2016년 1월 7일에 출원되어, 공동으로 양도된 미국 가특허 출원 제 62/275,837 호에 도시되고 기술된다.
일부 예들에서 가스 주입기 (142) 는 가스를 아래쪽 방향으로 보내는 중앙 주입 위치와 아랫쪽 방향에 대하여 비스듬히 가스를 주입하는 하나 이상의 측면 주입 위치들을 포함한다. 일부 예들에서, 가스 전달 시스템 (150-1) 은 가스 혼합물의 제 1 부분을 제 1 플로우 레이트로 중앙 주입 위치에 전달하고 가스 혼합물의 제 2 부분을 제 2 플로우 레이트로 가스 주입기 (142) 의 측면 주입 위치(들)에 전달한다. 다른 예들에서, 상이한 가스 혼합물들이 가스 주입기 (142) 에 의해 전달된다. 일부 예들에서, 가스 전달 시스템 (150-1) 은 후술될 바와 같이 튜닝 가스 (tuning gas) 를 가스 플로우 채널들 (129 및 134) 및/또는 프로세싱 챔버의 다른 위치들로 전달한다.
플라즈마 생성기 (170) 는 하나 이상의 유도 코일들 (140) 로 출력되는 RF 전력을 생성하도록 사용될 수도 있다. 플라즈마 (190) 는 상부 챔버 영역 (104) 에서 생성된다. 일부 예들에서, 플라즈마 생성기 (170) 는 RF 생성기 (172) 와 매칭 네트워크 (174) 를 포함한다. 매칭 네트워크 (174) 는 RF 생성기 (172) 의 임피던스를 하나 이상의 유도 코일들 (140) 의 임피던스에 매칭시킨다. 일부 예들에서, 가스 분배 디바이스 (114) 는 접지와 같은 기준 전위에 연결된다. 밸브 (178) 및 펌프 (180) 는 하부 챔버 영역 (102) 및 상부 챔버 영역 (104) 의 내부의 압력을 제어하거나 반응물들을 배출시키기 위해 사용될 수도 있다.
제어기 (176) 는 프로세스 가스, 퍼지 가스, RF 플라즈마의 플로우 및 챔버 압력을 제어하기 위해 가스 전달 시스템들 (150-1 및 150-2), 밸브 (178), 펌프 (180) 및/또는 플라즈마 생성기 (170) 와 통신한다. 일부 예들에서, 플라즈마는 하나 이상의 유도 코일들 (140) 에 의해 돔 (118) 의 내부에 유지된다. 하나 이상의 가스 혼합물들은 가스 주입기 (142) (및/또는 홀들 (123)) 를 사용하여 기판 프로세싱 챔버 (101) 의 상단 부분으로부터 도입되고 플라즈마는 가스 분배 디바이스 (114) 를 사용하여 돔 (118) 의 내부로 한정된다.
돔 (118) 내에 플라즈마를 한정하는 것은 플라즈마 종의 체적 재결합 (volume recombination) 과 가스 분배 디바이스 (114) 를 통한 목표된 에천트 종 (echant species) 의 방출을 허용한다. 일부 예들에서, 기판 (126) 에 인가된 RF 바이어스가 없다. 그 결과, 기판 (126) 상에 활성화된 시스 (sheath) 가 없고 이온들이 임의의 유한한 에너지를 가지고 기판 (126) 에 충돌하지 않는다. 일정 양의 이온들은 가스 분배 디바이스 (114) 를 통해 플라즈마 영역 밖으로 확산될 것이다. 그러나, 확산되는 플라즈마의 양은 돔 (118) 내부에 위치한 플라즈마보다 적은 자릿수이다. 플라즈마 내의 대부분의 이온들은 높은 압력들에서의 체적 재결합에 의해 소실된다 (lost). 가스 분배 디바이스 (114) 의 상부 표면에서의 표면 재결합 손실은 또한 가스 분배 디바이스 (114) 아래의 이온 밀도를 낮춘다.
다른 예들에서, 기판 프로세싱 시스템 (100) 은 RF 바이어스 생성기 (186) 및 매칭 네트워크 (188) 를 포함한다. RF 바이어스 생성기 (186) 는 가스 분배 디바이스 (114) 와 기판 지지부 (122) 사이에 플라즈마를 생성하는데 사용되거나 이온들을 끌어당기기 위해 기판 (126) 상에 셀프-바이어스 (self-bias) 를 만들어 내는데 사용될 수 있는 RF 바이어스를 생성한다. 제어기 (176) 는 RF 바이어스를 제어할 수도 있다. RF 바이어스 생성기 (186) 및/또는 매칭 네트워크 (188) 의 출력 주파수들은 0.5 ㎒에서 60 ㎒일 수도 있다.
기판 프로세싱 시스템 (100) 이 ICP 또는 TCP 소스를 가지고 동작하는 것으로 주로 기술되지만, 기판 프로세싱 시스템 (100) 은 또한 또는 대안적으로 CCP (capacitively-coupled plasma) 반응기 (reactor) 및/또는, 기판 지지부 (122) 의 RF 전극이 하부 판으로 역할을 하고 (serve as) 샤워헤드 (128) 가 포함되는지에 기초하여 샤워헤드 (128) 또는 상부 부분 (118) 이 상부 판으로 역할을 하는, 평행 판 (parallel plate) 반응기로 동작할 수도 있다.
도 1의 기판 프로세싱 시스템 (100) 의 제어기 및/또는 다른 디바이스들의 추가로 규정된 구조에 대해 아래에 제공된 도 2의 방법 및 아래에 제공된 "제어기" 라는 용어를 위한 정의를 참조하라. 기판 프로세싱 시스템 (100) 은 수많은 방법들을 사용하여 동작될 수도 있고, 예시적인 방법이 도 2에 예시된다. 도 2에서, 기판 프로세싱 시스템을 동작하기 위한 방법이 도시된다. 뒤따르는 태스크들 (tasks) 이 도 1의 구현예들에 대하여 주로 기술되나, 태스크들은 본 개시의 다른 구현예들에 적용하도록 용이하게 수정될 수도 있다. 태스크들은 반복적으로 수행될 수도 있다.
방법은 200에서 시작할 수도 있다. 202에서, 기판은 하부 챔버 영역 (102) 내의 기판 지지부 (122) 상에 배열된다. 기판은 하나 이상의 막 층들을 포함하는 복수의 층들을 포함할 수도 있다. 반도체 막 층과 같은 하나 이상의 막 층들은 SiN으로 형성된다.
204에서, 하나 이상의 에칭 가스들 및/또는 하나 이상의 에칭 가스 혼합물들은 상부 챔버 영역 (104) 으로 가스 주입기 (142) 를 통해 가스 전달 시스템 (150-1 및/또는 150-2) 에 의하여 제공된다. 에칭 가스 혼합물은 높은 압력 (예를 들어, 0.5 Torr보다 큰) 으로 제공될 수도 있다. 에칭 가스 혼합물은 사플루오르화 탄소 (CF4), 육플루오르화황 (SF6), 질소 트리플루오라이드 (NF3) 와 같은 에칭 전구체 (etch precursor) 및/또는 다른 에칭 전구체를 포함한다. 에칭 가스 혼합물 및/또는 에칭 전구체는 하나 이상의 음전기 가스들 (또는 자유 전자들을 캡처 (capture) 하고 음이온들을 형성하는 가스들) 을 포함한다.
에칭 가스 혼합물은 (i) 기준 양의 양전기 가스 (예를 들어, 기준 양의 N2O 및/또는 H), 및 (ii) 부가적인 양전기 가스가 없거나 부가적인 양전기 가스(들)이 있거나 둘 중 하나를 포함할 수도 있다. 공급된 부가적인 양전기 가스(들)의 양, 플로우 레이트, 및/또는 압력은 미리결정될 수도 있고 그리고/또는 애플리케이션 (application); 공급된 가스들 및/또는 화합물 가스들의 유형들; 공급된 (음전기 및/또는 양전기) 가스들의 압력들; 부가적인 양전기 가스(들)의 미리결정된 최대 전자 친화도 레벨; 공급된 (음전기 및/또는 양전기) 가스들의 전자 친화도 레벨; 어느 가스 전달 시스템들 (150-1, 150-2) 이 가스들을 공급하고 있는지, 및/또는 어느 가스가 가스 전달 시스템들 (150-1, 150-2) 각각에 의해 공급되고 있는지에 기초하여 제어기 (176) 에 의해 결정될 수도 있다. 부가적인 양전기 가스(들)의 압력들은 음전기 가스(들) 및 기준 양전기 가스(들)의 압력들과 같을 수도 있다. 전자 친화도 레벨은 전자가 음이온을 형성하도록 기체 상태의 중성 원자 또는 분자에 부가될 때 방출되거나 소비된 에너지의 양으로 정의된다.
부가적인 양전기 가스(들)는 (i) 하나 이상의 양전기 가스들 (또는 전자들을 제공하는 능력을 가지는 가스들), 및/또는 (ii) 높은 농도 (미리결정된 농도 레벨보다 큰) 의 양전기 가스를 포함하는 가스 혼합물을 포함한다. 양전기 가스들은 작은 전자 친화도 (예를 들어, 미리결정된 전자 친화도 레벨보다 작은) 를 가진다. 일례로, 어떠한 부가적인 양전기 가스도 없는 에칭 가스 혼합물은 5 %의 음전기 가스 및 95 %의 양전기 가스일 수도 있다. 음전기 가스의 백분율은 부가적인 양전기 가스가 공급될 때 공급된 가스의 전체 총 양에 대하여 감소한다. 음전기 가스의 백분율은 0 %의 미리결정된 범위 이내로 감소할 수도 있다. 부가적인 양전기 가스(들)은 기준 양전기 가스(들)보다 더 양전기성일 수도 있고 그리하여 기준 양전기 가스(들)보다 전자를 얻을 가능성이 적을 수도 있다. 음전기 가스들 및/또는 양전기 가스들은 높은 압력 (예를 들어, 0.5 Torr보다 큰) 에서 제공될 수도 있다. 가스들의 압력들이 보다 높을수록, (i) 주어진 시간의 기간 이내에 공급되는 음전기 가스 및 기준 양전기 가스의 양, 및/또는 (ii) 미리결정된 시간의 기간 이내에 공급되는 가스의 전체 총 양에 대하여 보다 많은 부가적인 양전기 가스가 공급된다. 이는 기판 프로세싱 챔버 (101) 내의 음전기 가스의 전체 백분율을 감소시킨다.
에칭 전구체/음전기 가스(들), 기준 양전기 가스(들), 및 부가적인 양전기 가스(들) 각각은 제 1 가스 전달 시스템 (150-1) 및/또는 제 2 가스 전달 시스템 (150-2) 을 통해 제공될 수도 있다. 일 실시예에서, 에칭 전구체/음전기 가스(들), 기준 양전기 가스(들), 및 부가적인 양전기 가스(들)는 제 1 가스 전달 시스템 (150-1) 을 통해서 제공되고 제 2 가스 전달 시스템 (150-2) 을 통하지 않고 제공된다. 이 예시적인 실시예에서, 부가적인 가스들은 주입기 (142) 에 앞서, 주입기 (142) 내에서, 및/또는 기판 프로세싱 챔버 (101) 내에서 다른 가스들과 혼합할 수도 있다. 다른 실시예에서, 에칭 전구체/음전기 가스(들) 및 기준 양전기 가스(들)는 제 1 가스 전달 시스템 (150-1) 을 통해 제공되고, 부가적인 양전기 가스(들)는 제 2 가스 전달 시스템 (150-2) 을 통해 제공된다. 이 예시적인 실시예에서, 부가적인 양전기 가스들은 기판 프로세싱 챔버 (101) 내에서 다른 가스들과 혼합할 수도 있다.
또 다른 실시예에서, 에칭 전구체/음전기 가스(들), 기준 양전기 가스(들), 및 부가적인 양전기 가스들은 각각이 가스 전달 시스템들 (150-1, 150-2) 모두를 통해 제공된다. 이 예시적인 실시예에서, 부가적인 양전기 가스들은 기판 프로세싱 챔버 (101) 안으로 주입되기 전에 그리고/또는 기판 프로세싱 챔버 (101) 내에서 다른 가스들과 혼합될 수도 있다. 또 다른 실시예에서, 에칭 전구체/음전기 가스(들)는 제 2 가스 전달 시스템 (150-2) 을 통해 제공되고 부가적인 양전기 가스들은 제 1 가스 전달 시스템 (150-1) 을 통해 제공된다. 이 예시적인 실시예에서, 부가적인 양전기 가스들은 기판 프로세싱 챔버 (101) 내에서 다른 가스들과 혼합될 수도 있다.
부가적인 양전기 가스들은 불활성 가스 (완전한 바깥 전자 껍질 (complete outer electron shell) 을 가지는 가스), 비-불활성 가스, 불활성 가스 화합물, 및/또는 비-불활성 가스 화합물을 포함할 수도 있다. 불활성 가스 및/또는 불활성 가스 화합물은 비활성 가스 (예를 들어, 아르곤) 를 포함할 수도 있다. 부가적인 양전기 가스들은 작은 전자 친화도 (또는 미리결정된 레벨보다 작은 전자 친화도) 를 가진다. 도 3은 제공된 아르곤 가스의 대응하는 농도 레벨들에 기초하여 증가된 플라즈마 에칭 균일도 레벨들을 예시한다. 제 1 플롯은 아르곤의 농도 레벨이 0일 때, 14.7 %의 플라즈마 에칭 레이트 균일도 레벨을 예시한다. 제 2 플롯은 아르곤의 농도 레벨이 500 sccm (standard cubic centimeters per minute) 일 때, 5.0 %의 플라즈마 에칭 레이트 균일도 레벨을 예시한다. 제 3 플롯은 아르곤의 농도 레벨이 1000 sccm일 때, 4.1 %의 플라즈마 에칭 레이트 균일도 레벨을 예시한다. 균일도 레벨들 각각은 평균 에칭 레이트 (mean etch rate) 로부터 변화 (또는 범위) 를 나타내며 (refer to), 평균 에칭 레이트는 Å/min (angstroms per minute) 단위로 제공된다.
기판 프로세싱 챔버 (101) 내에서 플라즈마 균일도를 증가시키도록, 전자 밀도는 부가적인 양전기 가스들을 공급함으로써 증가된다. 이는 기판 프로세싱 챔버 (101) 내의 플라즈마 안으로 작은 전자 친화도를 가진 원자들 및/또는 분자들의 농도를 증가시킨다. 작은 전자 친화도를 가진 원자들 및/또는 분자들의 농도를 증가시키도록, 미리결정된 양의 하나 이상의 미리선택된 양전기 가스들 및/또는 가스 화합물들이 플라즈마에 부가된다. 부가적인 양전기 가스들은 기판 프로세스 결과들 상의 모든 부정적인 영향들을 최소화하기 위해 선택된다. 하나의 실시예에서, 아르곤과 같은 불활성 가스는 플라즈마의 균일도 및 전자 밀도를 증가시키도록 플라즈마의 가스 화학물질 (chemistry) 에 부가된다. 또 다른 예시적인 실시예에서, 비-불활성 가스는 플라즈마의 가스 화학물질에 부가된다. 비-불활성 가스는 플라즈마의 균일도 및 전자밀도를 증가시키는 동시에 요청되거나 그리고/또는 요구되는 프로세스 결과를 제공하는데 기여할 수도 있다.
제어기 (176) 는 가스 전달 시스템들 (150-1, 150-2) 을 통해 제공된 가스들의 양들 및 타이밍 (timing) 을 제어할 수도 있다. 제어기 (176) 는 주입기 (142) 에 제공된 가스들의 농도 레벨들을 제어하도록 질량 유량 (mass flow) 제어기들 (156) 의 동작을 제어할 수도 있다.
아래 표 1은 상이한 원자들, 라디컬들 (radicals) 및 분자들의 전자 친화도들을 열거한다. 차트 1은 특정한 원자들의 전자 친화도들을 도시한다. 도 4의 그래프는 특정한 원자들의 원자 번호에 대한 전자 친화도들을 도시한다. 표 1, 차트 1 및/또는 도 4의 그래프에서 하나 이상의 원자들, 라디컬들 및/또는 분자들은 애플리케이션; 공급된 가스들의 유형들 (예를 들어, 음전기 및/또는 양전기); 공급된 부가적인 양전기 가스(들)의 미리결정된 최대 전자 친화도 레벨; 공급된 가스들의 압력들; 공급된 가스들 (음전기 및/또는 양전기 가스들) 의 전자 친화도 레벨들; 어느 가스 전달 시스템들 (150-1, 150-2) 이 가스들을 공급하고 있는지; 및/또는 어떤 가스가 가스 전달 시스템들 (150-1, 150-2) 각각에 의해 공급되고 있는지에 따라 (depending on) 하나 이상의 양전기 가스들 안에 포함될 수도 있다. 본 명세서에서 기술된 파라미터들 및/또는 값들을 관계시키고 결정하기 위한 표들, 차트들, 그래프들 및/또는 방정식들이 도 1의 제어기 (176) 의 메모리에 저장될 수도 있고 그리고/또는 도 1의 제어기 (176)에 액세스가능할 수도 있다.
원자, 라디컬, 또는 분자 전자 친화도 (eV) 원자, 라디컬, 또는 분자 전자 친화도 (eV)
H- = H + e 0.75 O- = O + e 1.5
S- = S + e 2.1 F- = F + e 3.4
Cl- = Cl + e 3.6 O2 - = O2 + e 0.44
O3 - = O3 + e 2.0 HO2 - = HO2 + e 3.0
OH- = OH + e 1.8 Cl2 - = Cl2 + e 2.4
F2 - =F2 + e 3.1 SF5 - = SF5 + e 3.2
SF6 - = SF6 + e 1.5 SO2 - = SO2 + e 1.2
NO- = NO + e 0.024 NO2 - = NO2 + e 3.1
NO3 - = NO3 + e 3.9 N2O- = N2O + e 0.7
HNO3 - = HNO3 + e 2.0 NF2 - = NF2 + e 3.0
CH3 - = CH3 + e 1.1 CH2 - = CH2 + e 1.5
CF3 - = CF3 + e 2.1 CF2 - = CF2 + e 2.7
CCl4 - = CCl4 + e 2.1 SiH3 - = SiH3 + e 2.7
UF6 - = UF6 + e 2.9 PtF6 - = PtF6 + e 6.8
Fe(CO)4 - = Fe(CO)4 + e 1.2 TiCl4 - = TiCl4 + e 1.6
표 1 - 특정 원자들, 라디컬들, 및 분자들에 대한 전자 친화도
Figure pat00001
206에서, 플라즈마는 상부 챔버 영역 (104) 내에서 스트라이킹된다 (struck). 208에서, RF 바이어스는 RF 생성기 (186) 및 매칭 네트워크 (188) 를 통해 기판 지지부에 선택가능하게 공급된다. 210에서, 제어기 (176) 는 미리결정된 에칭 기간이 종료되었는지 여부를 결정할 수도 있다. 에칭 기간이 종료되었다면, 태스크 (212) 가 수행된다. 212에서, 플라즈마는 미리결정된 에칭 기간이 끝나는 때에 소화된다 (extinguished). 214에서, 사용된다면 RF 바이어스는 종료된다. 방법은 216에서 종료될 수도 있다.
상기 기술된 태스크들은 예시적인 예들일 수 있다; 태스크들은 연속하여 (sequentially), 동기화되어 (synchronously), 동시에 (simultaneously), 계속하여 (continuously), 중복되는 (overlapping) 시간 기간들 동안 또는 애플리케이션에 의존하여 상이한 순서로 수행될 수도 있다. 또한, 어떤 태스크들은 이벤트들 (events) 의 순서 및/또는 구현예에 의존하여 수행되지 않을 수도 있고 또는 스킵되지 않을 수도 있다.
상기 기술된 방법은 높은 압력에서 음전기 가스 화학물질을 사용하고 그리고 프로세스 화학물질에 작은 전자 친화도를 가지는 높은 농도의 양전기 가스를 부가하여 반도체 막들을 에칭하는 단계를 포함한다. 상기 기술된 방법은 높은 압력들에서의 개선된 균일도 및 보다 높은 전자 밀도들을 제공한다. 이 방법의 이점은 상대적으로 높은 압력 (예를 들어, 0.5 Torr보다 큰) 에서 음전기 가스들을 사용하여 동작하는 반도체 에칭 프로세스의 프로세스 균일도가 개선된다는 것이다. 양전기 가스들이 균일도를 개선하도록 플라즈마에 부가된다. 이는 플라즈마를 안정시키도록 압력들을 낮추는 전통적인 접근과는 다르다.
앞서 말한 기술은 본질적으로 단순한 예시를 위한 것이고 결코 개시나, 그것의 적용, 또는 용도들을 제한하기 위해 의도되지 않았다. 개시의 넓은 교시들은 다양한 형태로 구현될 수 있다. 그러므로, 이 개시가 특정한 예들을 포함하나, 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 뒤따르는 청구항들의 연구로 명백해질 것이기 때문에 그렇게 제한될 수 없다. 어떤 방법 내의 하나 이상의 단계들은 본 개시의 원리의 변동 없이 다른 순서로 (또는 동시에) 실행될 수 있다는 것이 이해되어야 한다. 나아가, 실시예들 각각이 특정한 특징들을 갖는 것으로 전술되어 있더라도, 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 그러한 특징들은, 조합이 명백하게 기술되어 있지 않더라도, 임의의 다른 실시예들의 특징들은 구현되고 그리고/또는 임의의 다른 실시예들의 특징들과 조합될 수 있다. 다시 말해서, 기술된 실시예들은 상호간 배타적인 것이 아니며, 하나 이상의 실시예들과 또 다른 실시예들의 치환들은 이 개시의 범위 안에 남는다.
엘리먼트들 간의 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들 등) 공간적 및 기능적인 관계들은 “연결된 (connected),” “인게이지된 (engaged),” “결합된 (coupled),” “인접한 (adjacent),” “옆의 (next to),” ”상의 (on top of),” “위의 (above),” “아래의 (below),” 및 “배치된 (disposed),” 을 포함하는 다양한 용어들을 사용하여 기술된다. 명시적으로 "직접적인" 것으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 사이의 관계가 위의 개시에 설명되어 있을 때, 그 관계는 다른 개입하는 엘리먼트들이 제 1 엘리먼트와 제 2 엘리먼트 사이에 존재하지 않는 직접적인 관계일 수 있으나, 또한 하나 이상의 개입하는 엘리먼트들이 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로든 기능적으로든) 존재하는 간접적인 관계일 수도 있다. 본 명세서에서 사용된 것처럼, A, B 및 C 중 적어도 하나의 구는, 비배타적인 논리합 (logical OR) 을 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C”를 의미하는 것으로 해석되어서는 안 된다.
일부 구현예들에서, 제어기는 전술한 예시들의 일부분일 수도 있는 시스템의 일부분이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등) 을 포함하는 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전, 중, 후의 그것들의 동작을 제어하기 위해 전자장치와 통합될 수도 있다. 전자장치들은 "제어기"로 지칭될 수도 있고, 이는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 서브파트들을 제어할 수도 있다. 제어기는, 프로세싱 요건 및/또는 시스템의 유형에 따라, 프로세싱 가스들의 전달, 온도 설정들 (예를 들어, 가열 및/또는 냉각), 압력 설정들, 진공 설정들, 전력 설정들, 라디오 주파수 (RF) 생성기 설정들, RF 매칭 회로 설정들, 주파수 설정들, 플로우 레이트 설정들, 유체 전달 설정들, 위치 및 동작 설정들, 툴 및 다른 이송 툴들 및/또는 특정한 시스템에 연결되거나 인터페이스된 로드 락들 (load locks) 로 그리고 이들로부터 웨이퍼 이송들을 포함하여, 본 명세서에 개시된 모든 프로세스들을 제어하기 위해 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 다양한 집적회로들, 로직, 메모리, 및/또는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 소프트웨어, 그리고 유사한 것 등을 가지는 전자장치로 규정될 수도 있다. 집적회로들은 프로그램 인스트럭션들을 저장하는 펌웨어 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (application specific integrated circuits) 로 규정되는 칩들, 및/또는 하나 이상의 마이크로프로세서들, 또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 마이크로컨트롤러들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대해 또는 시스템에 특정한 프로세스를 수행하기 위한 동작 파라미터들로 규정되는 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기에 통신된 인스트럭션일 수도 있다. 동작 파라미터들은, 일부 실시예들에서, 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘들, 표면들, 회로들, 및/또는 웨이퍼 다이들의 제조 동안 하나 이상의 프로세싱 단계들을 달성하기 위해 프로세스 엔지니어들에 의해 규정된 레시피의 일부분일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합된, 시스템에 커플링된, 그렇지 않으면 시스템에 네트워크된, 또는 이들의 조합인 컴퓨터의 일부이거나 커플링될 수도 있다. 예를 들어, 제어기는 “클라우드”에 있을 수도 있고 또는 웨이퍼 프로세싱의 원격 액세스 (remote access) 을 허용할 수 있는 팹 호스트 (fab host) 컴퓨터 시스템의 전부 또는 일부분일 수도 있다. 컴퓨터는 제조 동작들의 현재 진척을 모니터하고, 과거 제조 동작들의 이력를 검토하고, 복수의 제조 작업들로부터 트렌드들 또는 성능 메트릭들 (performance metrics) 을 검토하거나 현재 프로세싱의 파라미터들을 변화시키거나, 현재 프로세싱에 따라 프로세싱 단계들을 설정하기 위해, 또는 새로운 프로세스를 시작하기 위해 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예들 들어, 서버) 는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있고, 네트워크는 로컬 네트워크 또는 인터넷을 포함할 수도 있다. 원격 컴퓨터는 파라미터들 및/또는 설정들의 입력 (entry) 또는 프로그래밍을 인에이블하는 유저 인터페이스를 포함할 수도 있고, 파라미터들 및/또는 설정들은 나중에 원격 컴퓨터로부터 시스템에 통신된다. 일부 예들에서, 제어기는 데이터의 형태로 인스트럭션를 수신하고, 데이터는 하나 이상의 동작들 동안 수행되는 프로세싱 단계들 각각을 위한 파라미터들을 명시한다. 파라미터들은 수행될 프로세스 유형과 제어기가 인터페이스하거나 또는 제어하도록 구성된 툴의 유형들에 특정적일 수도 있다는 것이 이해되어야 한다. 그리하여 전술한 것처럼, 제어기는 본 명세서에서 기술된 프로세스들 및 제어들과 같은 공통된 목적을 향해 함께 네트워킹되거나 작동하는 하나 이상의 별개의 제어기를 포함함으로써 분산될 수 있다. 그런 목적들을 위한 분산된 제어기의 예시는 챔버의 프로세스를 제어하도록 결합하여 (플랫폼 레벨에서와 같이 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버에 있는 하나 이상의 집적 회로들일 것이다.
제한 없이, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 (plating) 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 (bevel) 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 그리고 반도체 웨이퍼들의 제조 및/또는 제작에 연관되거나 사용될 수도 있는 임의의 다른 반도체 프로세싱 시스템을 포함한다.
상기 언급한 것처럼, 툴에 의해 수행될 프로세스 단계나 단계들에 따라, 제어기는 하나 이상의 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접한 툴들, 이웃한 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 웨이퍼들의 컨테이너를을 반도체 제작 공장 내 툴 위치들 및/또는 로드 포트들 (load ports) 로 가져가거나 가져오는 재료 이송에 사용되는 툴들과 통신할 수도 있다.

Claims (20)

  1. 기판 프로세싱 챔버;
    음전기 (electronegative) 가스, 기준 양전기 (baseline electropositive) 가스, 및 부가적인 양전기 가스를 상기 기판 프로세싱 챔버 내로 주입하는 하나 이상의 주입기들로서, 상기 음전기 가스는 에칭 전구체 (etch precursor) 를 포함하고, 그리고 상기 부가적인 양전기 가스는 상기 기판 프로세싱 챔버 내의 플라즈마와 혼합하고 상기 기판 프로세싱 챔버 내의 플라즈마의 전자 밀도를 상승시키는, 상기 하나 이상의 주입기들; 및
    상기 음전기 가스의 압력 또는 상기 부가적인 양전기 가스의 전자 친화도 레벨 (electron affinity level) 중 적어도 하나에 기초하여 상기 부가적인 양전기 가스의 양, 플로우 레이트 (flow rate) 또는 압력을 설정하도록 구성된 제어기를 포함하는, 시스템.
  2. 제 1 항에 있어서,
    상기 제어기는 상기 기판 프로세싱 챔버 내로 주입된 상기 부가적인 양전기 가스의 양을 조정하도록 구성되고, 상기 제어기는 미리결정된 레벨보다 크게 상기 부가적인 양전기 가스의 농도 레벨을 설정하는, 시스템.
  3. 제 1 항에 있어서,
    상기 부가적인 양전기 가스의 상기 전자 친화도 레벨은 미리결정된 레벨보다 작은, 시스템.
  4. 제 1 항에 있어서,
    상기 부가적인 음전기 가스는 미리결정된 압력보다 큰 압력으로 상기 기판 프로세싱 챔버에 제공되는, 시스템.
  5. 제 4 항에 있어서,
    상기 음전기 가스는 0.5 Torr보다 큰 압력으로 제공되는, 시스템.
  6. 제 1 항에 있어서,
    상기 부가적인 양전기 가스는 미리결정된 압력보다 큰 압력으로 상기 기판 프로세싱 챔버에 제공되는, 시스템.
  7. 제 6 항에 있어서,
    상기 부가적인 양전기 가스는 0.5 Torr보다 큰 압력으로 제공되는, 시스템.
  8. 제 1 항에 있어서,
    상기 부가적인 양전기 가스는 불활성 가스 (inert gas) 를 포함하는, 시스템.
  9. 제 1 항에 있어서,
    상기 부가적인 양전기 가스는 비-불활성 가스 (non-inert gas) 를 포함하는, 시스템.
  10. 제 1 항에 있어서,
    상기 부가적인 양전기 가스는 상기 기준 양전기 가스보다 작은 전자 친화도를 가지는, 시스템.
  11. 기판 프로세싱 챔버 내부의 기판 지지부 상에 기판을 배열하는 단계;
    상기 기판 프로세싱 챔버 내로 음전기 가스, 기준 양전기 가스, 및 부가적인 양전기 가스를 주입하는 단계로서, 상기 음전기 가스는 에칭 전구체를 포함하고, 상기 부가적인 양전기 가스는 상기 기판 프로세싱 챔버 내의 플라즈마와 혼합하고 상기 기판 프로세싱 챔버 내의 플라즈마의 전자 밀도를 상승시키고, 그리고 상기 음전기 가스, 상기 기준 양전기 가스, 및 상기 부가적인 양전기 가스를 상기 기판 프로세싱 챔버에 주입하는 단계 전에, 상기 음전기 가스의 압력 또는 상기 부가적인 양전기 가스의 전자 친화도 레벨 중 적어도 하나에 기초하여 상기 부가적인 양전기 가스의 양, 플로우 레이트 또는 압력을 설정하는, 상기 가스를 주입하는 단계; 및
    상기 기판을 에칭하도록 상기 플라즈마를 스트라이킹 (striking) 하는 단계를 포함하는, 방법.
  12. 제 11 항에 있어서,
    상기 기판 프로세싱 챔버 내로 주입된 상기 부가적인 양전기 가스의 양을 조정하는 단계; 및
    미리결정된 레벨보다 크게 상기 부가적인 양전기 가스의 농도 레벨을 설정하는 단계를 더 포함하는, 방법.
  13. 제 11 항에 있어서,
    상기 부가적인 양전기 가스의 상기 전자 친화도 레벨은 미리결정된 레벨보다 작은, 방법.
  14. 제 11 항에 있어서,
    상기 음전기 가스는 미리결정된 압력보다 큰 압력으로 상기 기판 프로세싱 챔버에 제공되는, 방법.
  15. 제 14 항에 있어서,
    상기 부가적인 음전기 가스는 0.5 Torr보다 큰 압력으로 제공되는, 방법.
  16. 제 11 항에 있어서,
    상기 부가적인 양전기 가스는 미리결정된 압력보다 큰 압력으로 상기 기판 프로세싱 챔버에 제공되는, 방법.
  17. 제 16 항에 있어서,
    상기 부가적인 양전기 가스는 0.5 Torr보다 큰 압력으로 제공되는, 방법.
  18. 제 11 항에 있어서,
    상기 부가적인 양전기 가스는 불활성 가스를 포함하는, 방법.
  19. 제 11 항에 있어서,
    상기 부가적인 양전기 가스는 비-불활성 가스를 포함하는, 방법.
  20. 제 11 항에 있어서,
    상기 부가적인 양전기 가스는 상기 기준 양전기 가스보다 작은 전자 친화도를 가지는, 방법.
KR1020170018549A 2016-02-12 2017-02-10 기판 프로세싱 시스템의 플라즈마에서 전자 밀도 레벨들을 상승시키기 위한 시스템 및 방법 KR20170095150A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662294640P 2016-02-12 2016-02-12
US62/294,640 2016-02-12
US15/427,163 US10147588B2 (en) 2016-02-12 2017-02-08 System and method for increasing electron density levels in a plasma of a substrate processing system
US15/427,163 2017-02-08

Publications (1)

Publication Number Publication Date
KR20170095150A true KR20170095150A (ko) 2017-08-22

Family

ID=59559755

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170018549A KR20170095150A (ko) 2016-02-12 2017-02-10 기판 프로세싱 시스템의 플라즈마에서 전자 밀도 레벨들을 상승시키기 위한 시스템 및 방법

Country Status (3)

Country Link
US (1) US10147588B2 (ko)
KR (1) KR20170095150A (ko)
TW (1) TWI731031B (ko)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102617422B1 (ko) 2016-12-19 2023-12-21 삼성전자주식회사 반도체 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
EP1096351A4 (en) 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
WO2001012873A1 (en) 1999-08-17 2001-02-22 Tokyo Electron Limited Pulsed plasma processing method and apparatus
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
JP4224492B2 (ja) 2003-06-09 2009-02-12 シーケーディ株式会社 圧力制御システム及び流量制御システム
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
JP5037510B2 (ja) 2006-08-23 2012-09-26 株式会社堀場エステック 集積型ガスパネル装置
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US8104323B2 (en) 2006-12-05 2012-01-31 Horiba Stec, Co., Ltd. Flow controller, flow measuring device testing method, flow controller testing system, and semiconductor manufacturing apparatus
JP4642115B2 (ja) 2006-12-12 2011-03-02 株式会社堀場エステック 流量比率制御装置
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
WO2009084422A1 (ja) 2007-12-27 2009-07-09 Horiba Stec, Co., Ltd. 流量比率制御装置
WO2009091935A1 (en) 2008-01-18 2009-07-23 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
JP2009194032A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマ測定方法及びプラズマ測定装置並びに記憶媒体
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
WO2009117565A2 (en) 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
AU2009281170B2 (en) 2008-08-13 2013-01-31 Shell Internationale Research Maatschappij B.V. Method for controlling a gas flow between a plurality of gas streams
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
CN102473634B (zh) 2009-08-20 2015-02-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP2013508990A (ja) 2009-10-26 2013-03-07 ゾルファイ フルーオル ゲゼルシャフト ミット ベシュレンクテル ハフツング Tftマトリックスを製造するためのエッチングプロセス
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
WO2011078242A1 (ja) 2009-12-25 2011-06-30 株式会社堀場エステック マスフローコントローラシステム
JP5466756B2 (ja) 2010-03-04 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
US9000114B2 (en) 2010-08-02 2015-04-07 Basell Polyolefine Gmbh Process and apparatus for mixing and splitting fluid streams
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9804609B2 (en) 2012-02-22 2017-10-31 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) * 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
WO2014109827A1 (en) * 2013-01-08 2014-07-17 Applied Materials, Inc. High mobility film through quantum confinement using metal oxynitrides and oxides
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140360670A1 (en) * 2013-06-05 2014-12-11 Tokyo Electron Limited Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
TWI632607B (zh) * 2015-01-26 2018-08-11 東京威力科創股份有限公司 基板之高精度蝕刻用方法及系統
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system

Also Published As

Publication number Publication date
TW201738925A (zh) 2017-11-01
US20170236694A1 (en) 2017-08-17
US10147588B2 (en) 2018-12-04
TWI731031B (zh) 2021-06-21

Similar Documents

Publication Publication Date Title
US10147588B2 (en) System and method for increasing electron density levels in a plasma of a substrate processing system
US10825659B2 (en) Substrate processing chamber including multiple gas injection points and dual injector
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
KR102516377B1 (ko) 웨이퍼 부산물 분포 및 에칭 피처 프로파일 균일도를 위한 튜닝가능한 플라즈마 해리를 통한 가스 반응 궤적 제어
US20170069511A1 (en) Systems and methods for selectively etching tungsten in a downstream reactor
US20160148813A1 (en) Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
US20170278679A1 (en) Method and apparatus for controlling process within wafer uniformity
US20230084901A1 (en) Ultrahigh selective nitride etch to form finfet devices
US20210287909A1 (en) Integrated atomic layer passivation in tcp etch chamber and in-situ etch-alp method
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US10727089B2 (en) Systems and methods for selectively etching film
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
WO2020112923A1 (en) Throughput improvement with interval conditioning purging
US10714345B2 (en) Plasma assisted doping on germanium
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
US20220181128A1 (en) Apparatus for cleaning plasma chambers
CN117981042A (zh) 去除衬底残留物的原位背面等离子体处理
KR20210109640A (ko) 다운스트림 플라즈마를 위한 듀얼 이온 필터를 포함하는 기판 프로세싱 시스템

Legal Events

Date Code Title Description
A201 Request for examination