CN107208262A - 醇类辅助ald膜沉积 - Google Patents
醇类辅助ald膜沉积 Download PDFInfo
- Publication number
- CN107208262A CN107208262A CN201580063083.1A CN201580063083A CN107208262A CN 107208262 A CN107208262 A CN 107208262A CN 201580063083 A CN201580063083 A CN 201580063083A CN 107208262 A CN107208262 A CN 107208262A
- Authority
- CN
- China
- Prior art keywords
- substrate
- alcohol
- alcohols
- reactant gas
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 150000001298 alcohols Chemical class 0.000 title claims abstract description 65
- 230000008021 deposition Effects 0.000 title claims abstract description 30
- 239000000758 substrate Substances 0.000 claims abstract description 156
- 238000000034 method Methods 0.000 claims abstract description 128
- 229910052751 metal Inorganic materials 0.000 claims abstract description 75
- 239000002184 metal Substances 0.000 claims abstract description 75
- 229910044991 metal oxide Inorganic materials 0.000 claims abstract description 27
- 150000004706 metal oxides Chemical class 0.000 claims abstract description 27
- 239000007789 gas Substances 0.000 claims description 111
- 239000010949 copper Substances 0.000 claims description 65
- 230000008569 process Effects 0.000 claims description 60
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 58
- 229910052802 copper Inorganic materials 0.000 claims description 58
- 239000000376 reactant Substances 0.000 claims description 57
- 238000012545 processing Methods 0.000 claims description 50
- DNIAPMSPPWPWGF-UHFFFAOYSA-N Propylene glycol Chemical class CC(O)CO DNIAPMSPPWPWGF-UHFFFAOYSA-N 0.000 claims description 31
- -1 1- amylalcohols Chemical compound 0.000 claims description 29
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 28
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 27
- 235000013495 cobalt Nutrition 0.000 claims description 23
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 claims description 21
- 229910017052 cobalt Inorganic materials 0.000 claims description 21
- 239000010941 cobalt Substances 0.000 claims description 21
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 21
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 claims description 19
- 125000003545 alkoxy group Chemical group 0.000 claims description 18
- BTANRVKWQNVYAZ-UHFFFAOYSA-N butan-2-ol Chemical compound CCC(C)O BTANRVKWQNVYAZ-UHFFFAOYSA-N 0.000 claims description 18
- PHTQWCKDNZKARW-UHFFFAOYSA-N isoamylol Chemical compound CC(C)CCO PHTQWCKDNZKARW-UHFFFAOYSA-N 0.000 claims description 16
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 claims description 15
- 235000013772 propylene glycol Nutrition 0.000 claims description 15
- 229910052759 nickel Inorganic materials 0.000 claims description 13
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 claims description 12
- BBMCTIGTTCKYKF-UHFFFAOYSA-N 1-heptanol Chemical compound CCCCCCCO BBMCTIGTTCKYKF-UHFFFAOYSA-N 0.000 claims description 10
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 claims description 10
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 claims description 10
- KPSSIOMAKSHJJG-UHFFFAOYSA-N neopentyl alcohol Chemical compound CC(C)(C)CO KPSSIOMAKSHJJG-UHFFFAOYSA-N 0.000 claims description 10
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 8
- 229910052721 tungsten Inorganic materials 0.000 claims description 8
- 239000010937 tungsten Substances 0.000 claims description 8
- 229910052799 carbon Inorganic materials 0.000 claims description 7
- 150000003333 secondary alcohols Chemical class 0.000 claims description 7
- 150000001335 aliphatic alkanes Chemical class 0.000 claims description 6
- 150000001336 alkenes Chemical class 0.000 claims description 6
- HPXRVTGHNJAIIH-UHFFFAOYSA-N cyclohexanol Chemical compound OC1CCCCC1 HPXRVTGHNJAIIH-UHFFFAOYSA-N 0.000 claims description 6
- XCIXKGXIYUWCLL-UHFFFAOYSA-N cyclopentanol Chemical compound OC1CCCC1 XCIXKGXIYUWCLL-UHFFFAOYSA-N 0.000 claims description 6
- ZSIAUFGUXNUGDI-UHFFFAOYSA-N hexan-1-ol Chemical class CCCCCCO ZSIAUFGUXNUGDI-UHFFFAOYSA-N 0.000 claims description 6
- 150000000215 1-octanols Chemical class 0.000 claims description 5
- WAPNOHKVXSQRPX-UHFFFAOYSA-N 1-phenylethanol Chemical compound CC(O)C1=CC=CC=C1 WAPNOHKVXSQRPX-UHFFFAOYSA-N 0.000 claims description 5
- WCASXYBKJHWFMY-NSCUHMNNSA-N 2-Buten-1-ol Chemical compound C\C=C\CO WCASXYBKJHWFMY-NSCUHMNNSA-N 0.000 claims description 5
- WRMNZCZEMHIOCP-UHFFFAOYSA-N 2-phenylethanol Chemical compound OCCC1=CC=CC=C1 WRMNZCZEMHIOCP-UHFFFAOYSA-N 0.000 claims description 5
- 229960004643 cupric oxide Drugs 0.000 claims description 5
- QILSFLSDHQAZET-UHFFFAOYSA-N diphenylmethanol Chemical compound C=1C=CC=CC=1C(O)C1=CC=CC=C1 QILSFLSDHQAZET-UHFFFAOYSA-N 0.000 claims description 5
- 239000001257 hydrogen Substances 0.000 claims description 5
- 229910052739 hydrogen Inorganic materials 0.000 claims description 5
- ZWRUINPWMLAQRD-UHFFFAOYSA-N n-Nonyl alcohol Natural products CCCCCCCCCO ZWRUINPWMLAQRD-UHFFFAOYSA-N 0.000 claims description 5
- MWKFXSUHUHTGQN-UHFFFAOYSA-N n-decyl alcohol Natural products CCCCCCCCCCO MWKFXSUHUHTGQN-UHFFFAOYSA-N 0.000 claims description 5
- 229920000166 polytrimethylene carbonate Polymers 0.000 claims description 5
- YPFDHNVEDLHUCE-UHFFFAOYSA-N propane-1,3-diol Chemical class OCCCO YPFDHNVEDLHUCE-UHFFFAOYSA-N 0.000 claims description 5
- 125000004368 propenyl group Chemical group C(=CC)* 0.000 claims description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 4
- 125000003118 aryl group Chemical group 0.000 claims description 4
- ZXEKIIBDNHEJCQ-UHFFFAOYSA-N isobutanol Chemical compound CC(C)CO ZXEKIIBDNHEJCQ-UHFFFAOYSA-N 0.000 claims description 4
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 claims description 4
- ZGEGCLOFRBLKSE-UHFFFAOYSA-N methylene hexane Natural products CCCCCC=C ZGEGCLOFRBLKSE-UHFFFAOYSA-N 0.000 claims description 4
- 150000000220 1-undecanols Chemical class 0.000 claims description 3
- QCWMRAKRSCVYNJ-UHFFFAOYSA-N methanol;prop-1-ene Chemical compound OC.CC=C QCWMRAKRSCVYNJ-UHFFFAOYSA-N 0.000 claims description 3
- XSICEODPPIFTKE-UHFFFAOYSA-N C(=O)=CC(C)(C)C#C Chemical group C(=O)=CC(C)(C)C#C XSICEODPPIFTKE-UHFFFAOYSA-N 0.000 claims description 2
- 229910000428 cobalt oxide Inorganic materials 0.000 claims description 2
- IVMYJDGYRUAWML-UHFFFAOYSA-N cobalt(ii) oxide Chemical compound [Co]=O IVMYJDGYRUAWML-UHFFFAOYSA-N 0.000 claims description 2
- CJYKYDSNPJGVNZ-UHFFFAOYSA-N cobalt;cyclopenta-1,3-diene Chemical compound [Co].C1C=CC=C1 CJYKYDSNPJGVNZ-UHFFFAOYSA-N 0.000 claims description 2
- 229910000480 nickel oxide Inorganic materials 0.000 claims description 2
- GNRSAWUEBMWBQH-UHFFFAOYSA-N oxonickel Chemical compound [Ni]=O GNRSAWUEBMWBQH-UHFFFAOYSA-N 0.000 claims description 2
- 229910001925 ruthenium oxide Inorganic materials 0.000 claims description 2
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 claims description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- WGCNASOHLSPBMP-UHFFFAOYSA-N hydroxyacetaldehyde Natural products OCC=O WGCNASOHLSPBMP-UHFFFAOYSA-N 0.000 claims 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims 1
- 150000002927 oxygen compounds Chemical class 0.000 claims 1
- 239000002243 precursor Substances 0.000 abstract description 7
- 238000000151 deposition Methods 0.000 description 27
- 239000003638 chemical reducing agent Substances 0.000 description 15
- 239000010410 layer Substances 0.000 description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 238000012546 transfer Methods 0.000 description 9
- 150000001299 aldehydes Chemical group 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- VHYFNPMBLIVWCW-UHFFFAOYSA-N 4-Dimethylaminopyridine Chemical compound CN(C)C1=CC=NC=C1 VHYFNPMBLIVWCW-UHFFFAOYSA-N 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- 239000013078 crystal Substances 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 150000004767 nitrides Chemical class 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229960000549 4-dimethylaminophenol Drugs 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 150000001721 carbon Chemical group 0.000 description 3
- 235000013351 cheese Nutrition 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 230000004087 circulation Effects 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000007872 degassing Methods 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 230000000640 hydroxylating effect Effects 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 238000003825 pressing Methods 0.000 description 3
- 238000000746 purification Methods 0.000 description 3
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- MKUWVMRNQOOSAT-UHFFFAOYSA-N but-3-en-2-ol Chemical compound CC(O)C=C MKUWVMRNQOOSAT-UHFFFAOYSA-N 0.000 description 2
- 239000003153 chemical reaction reagent Substances 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 125000000524 functional group Chemical group 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910003978 SiClx Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 125000003368 amide group Chemical group 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- BERDEBHAJNAUOM-UHFFFAOYSA-N copper(i) oxide Chemical compound [Cu]O[Cu] BERDEBHAJNAUOM-UHFFFAOYSA-N 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 150000002083 enediols Chemical class 0.000 description 1
- ZUKSLMGYYPZZJD-UHFFFAOYSA-N ethenimine Chemical compound C=C=N ZUKSLMGYYPZZJD-UHFFFAOYSA-N 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 238000002309 gasification Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- QNVRIHYSUZMSGM-UHFFFAOYSA-N hexan-2-ol Chemical class CCCCC(C)O QNVRIHYSUZMSGM-UHFFFAOYSA-N 0.000 description 1
- ZOCHHNOQQHDWHG-UHFFFAOYSA-N hexan-3-ol Chemical class CCCC(O)CC ZOCHHNOQQHDWHG-UHFFFAOYSA-N 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 125000000250 methylamino group Chemical group [H]N(*)C([H])([H])[H] 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000006722 reduction reaction Methods 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000005728 strengthening Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- KJIOQYGWTQBHNH-UHFFFAOYSA-N undecanol Chemical compound CCCCCCCCCCCO KJIOQYGWTQBHNH-UHFFFAOYSA-N 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
- C23C16/0281—Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/18—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45548—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
- C23C16/45551—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76849—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
描述了选择性地沉积金属在金属表面上相对于在介电表面上的方法。方法包括将金属氧化物表面还原成金属表面且保护介电表面以将介电表面上的沉积予以最小化及暴露基板于金属前驱物和醇类以沉积膜。
Description
技术领域
本公开内容的实施方式大体涉及选择性地沉积膜的方法。更具体地,本公开内容的实施方式涉及使用醇类选择性还原和选择性保护来选择性地沉积膜的方法。
背景技术
随着芯片特征尺寸缩小到10nm以下,铜互连的集成极富挑战性,特别是在铜阻挡物与铜种晶沉积方面。已知在间隙填充中的共形铜种晶层对于铜电镀的集成是重要的。然而,目前的由PVD与CVD所沉积的工艺铜种晶层无法满足所需要求。通过PVD方法的直接铜填充,即使是通过高温工艺,证明在某些互连几何形态中是困难的。
集成工艺的一个困难是铜种晶层应该是连续的膜。对于PVD铜种晶层工艺,膜在沟槽或过孔(via)的侧壁上时常不是连续的且不是共形的。现有的CVD铜膜不是共形的且需要更高的基板温度,更高的基板温度会导致铜在沟槽或过孔内的结块。
此外,现有的铜膜具有由金属前驱物的热劣化产生的杂质。典型的铜膜可具有在2至10范围内的原子百分比的碳与氮。
所以,本技术领域需要选择性地沉积金属膜于金属表面上相对于介电表面上的方法。
发明内容
本公开内容的一个或更多个实施方式涉及沉积膜的方法。所述方法包括将基板暴露于第一反应性气体和第二反应性气体,其中所述第一反应性气体包括铜、钴、镍或钨的一种或多种,所述第二反应性气体包括醇类。
本公开内容的额外实施方式涉及沉积膜的方法,所述方法包括:提供基板,所述基板具有第一基板表面和第二基板表面,所述第一基板表面包括金属氧化物,所述第二基板表面包括电介质。所述基板依序地被暴露于第一反应性气体和第二反应性气体,其中所述第一反应性气体包括铜、钴、镍或钨的一种或多种,所述第二反应性气体包括醇类。
本公开内容的进一步实施方式涉及沉积膜的方法,所述方法包括提供基板,所述基板具有第一基板表面和第二基板表面,所述第一基板表面包括金属氧化物,所述第二基板表面包括电介质。所述基板被暴露于预处理,所述预处理包括在处理腔室的第一工艺区域中的醇类,以将所述金属氧化物还原成第一金属并且形成烷氧基封端的介电表面。所述基板从所述第一工艺区域侧向地(laterally)被移动通过气帘(gas curtain)到第二工艺区域。所述基板被暴露于位于所述第二工艺区域中的第一反应性气体。所述第一反应性气体包括铜、钴、镍或钨的一种或多种。所述基板从所述第二工艺区域侧向地被移动通过气帘到第三工艺区域。所述基板被暴露于位于所述第三工艺区域中的第二反应性气体,所述第二反应性气体包括第二醇类。所述第一醇类与所述第二醇类各独立地选自由甲醇、乙醇、1-丙醇、异丙醇、1-丁醇、异丁醇、1-戊醇、异戊醇、环戊醇、1-己醇、环己醇、1-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十一醇、1-十二醇、1-十四醇、1-十八醇、丙烯醇(2-丙基-1-醇)、巴豆醇(顺式或反式)、甲基乙烯基甲醇、苯甲醇、α-苯基乙醇、1,2-乙二醇、1,3-丙二醇、2,2-二甲基-1-丙醇(新戊醇)、2-甲基-1-丙醇、3-甲基-1-丁醇、1,2-丙二醇(丙烯二醇(propyleneglycol))、2-丁醇、β-苯基乙醇、二苯基甲醇、及上述的组合所构成的组。
附图说明
可通过参照实施方式来详细理解本公开内容的上述特征,其中这些实施方式的一些实施方式在附图中示出,本公开内容的上述特征简短地在前面概述过,以下将进行更具体地描述。但是应注意的是,附图仅示出本公开内容的典型实施方式,并且因此附图不应被视为对本公开内容的范围构成限制,这是因为本公开内容可允许其他等效的实施方式。
图1A~1D显示根据本公开内容的一个或更多个实施方式的处理方法;和
图2显示根据本公开内容的一个或更多个实施方式的批处理腔室的实施方式。
具体实施方式
本公开内容的实施方式提供沉积膜的方法,所述方法包括在金属沉积之前的一个额外的预处理工艺。本公开内容的实施方式使用单一试剂或单一工艺步骤以便达到两个目的:还原金属氧化物(例如氧化铜)成金属(例如铜)且保护电介质的表面。单一工艺可被执行在一个工艺温度下。此外,在金属氧化物还原与通过例如烷氧基的介电表面保护之后,金属前驱物实质上不会与介电表面反应。这避免或最小化在介电表面上的金属沉积,及改善金属沉积的选择性。
如在本说明书与随附的权利要求书中所使用的,术语“基板”与“晶片”可交替地被使用,两者皆指在其上进行工艺的表面或表面的一部分。本领域的技术人员亦将理解的是对基板的参考也可以对基板的一部分的参考,除非文章中另有清楚指明。此外,沉积在基板上的意思可以指裸基板与具有一个或更多个膜或特征沉积或形成在其上的基板。
如在此所使用的“基板”是指任何基板或形成在基板上的材料表面,其中在制造工艺期间在其上执行膜处理。例如,根据应用,可于其上执行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(silicon on insulator,SOI)、碳掺杂氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石(sapphire)及任何其他材料(诸如金属、金属氮化物、金属合金及其他导电材料)之类的材料。不受限制,基板包括半导体晶片。基板可被暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟基化、退火和/或烘烤基板表面。除了在基板本身的表面上直接的膜处理,在本发明中,任何所披露的膜处理步骤亦可被执行在形成于基板上的下层上(如以下更详细地披露的),并且术语“基板表面”意在包括如文章中所指出的这样的下层。因此,例如,当膜/层或部分膜/层已经被沉积在基板表面上时,新沉积的膜/层的暴露表面变成基板表面。所给予的基板表面包括什么将取决于待沉积什么膜以及使用的具体化学品。在一个或更多个实施方式中,第一基板表面将包括金属,并且第二基板表面将包括电介质,或反之亦然。在一些实施方式中,基板表面可包括特定官能团(例如-OH、-NH等)。
同样地,可被用在于此所述的方法中的膜是非常有变化性的。在一些实施方式中,膜可包括金属或基本上由金属构成。金属膜的实例包括但不限于钴(Co)、铜(Cu)、镍(Ni)、钨(W)等。在一些实施方式中,膜包括电介质。实例包括SiO2、SiN、HfO2等。
如在本说明书与随附的权利要求书中所使用的,术语“反应性气体”、“前驱物”、“反应物”与诸如此类者可交替地使用,是指一气体,所述气体包括会和基板表面发生反应的物种。例如,第一“反应性气体”可仅吸附到基板的表面上,并且可用于进一步的和第二反应性气体的化学反应。
本公开内容的一个或更多个实施方式含有醇类,以作为还原试剂而将金属氧化物(例如氧化铜)还原为金属(例如铜),并且作为保护试剂而通过将官能团(例如羟基)取代成烷氧基来保护介电表面。本公开内容的一些实施方式是气相工艺。在一个或更多个实施方式中,工艺发生在单一温度下。
在金属氧化物还原与通过烷氧基的介电表面保护之后,金属前驱物(例如钴前驱物)几乎不会或不会与介电表面发生反应。由于几乎不会或不会发生反应,可避免金属前驱物沉积在介电表面上。所以,本公开内容的一个或更多个实施方式改善了金属沉积的选择性。
在一些实施方式中,工艺温度是在约140℃至约300℃的范围中。一些实施方式的醇类是伯醇(例如乙醇、1-丙醇、1-丁醇、1-戊醇、1-己醇、3-甲基-1-丁醇)和/或仲醇(例如异丙醇、2-丁醇、2-戊醇、3-戊醇、2-己醇、3-己醇、环戊醇、环己醇)。适当的醇类可在工艺温度下和气相下将金属氧化物还原成金属。适当的醇类可改变介电表面,以将羟基取代成烷氧基。在一个或更多个实施方式中,改善了在钴或钌上相对于在电介质上的铜选择性沉积。
因此,本公开内容的一个或更多个实施方式涉及沉积膜的方法。经沉积的膜可以是金属膜或含金属膜。含金属膜可以是金属膜或混合金属-非金属膜(例如金属氧化物膜或金属氮化物膜),如文章所暗示的。
本公开内容的实施方式提供选择性地沉积金属膜于一表面上相对于第二表面上的方法。如在本说明书与随附的权利要求书中所使用的,术语“选择性地沉积膜于一表面上相对于另一表面上”与诸如此类者是指第一量的膜被沉积在第一表面上且第二量的膜被沉积在第二表面上,其中第二量的膜少于第一量的膜或不具有。用于此方面的术语“相对于(over)”不是暗示一个表面在另一表面的顶部上的物理定向,而是和一个表面相对于其他表面的化学反应的热力学或动力学性质的关系。例如,选择性地沉积钴膜于铜表面上相对于介电表面上是指钴膜沉积在铜表面上且较少或没有钴膜沉积在介电表面上;或指位于铜表面上的钴膜的形成相对于位于介电表面上的钴膜的形成是热力学或动力学上有利的。
参照图1A~1D,基板10被提供或被放置到处理腔室内。基板10具有包括金属氧化物30的第一表面20与第二表面40。例如,第一表面与第二表面可构成半导体特征结构(例如沟槽),其中第一表面形成该特征结构的一部分(例如沟槽的底部)且第二表面形成该特征结构的一不同部分(例如沟槽的侧壁)。如图1A中的第一表面20的呈现所见,金属氧化物30可以是涂覆在第一表面20上的任何氧化物。例如,第一表面可以是具有薄氧化铜层位于表面上的铜。可通过任何适当的方式(有意地,或另一工艺的副结果)来形成金属氧化物30层。例如,可在基板的移动期间因为暴露于空气而形成氧化物层,或可通过暴露于氧化气体(例如氧或臭氧)或另一工艺(诸如CMP工艺)而有意地形成氧化物层。
金属氧化物可以是任何适当的金属氧化物。在一些实施方式中,金属氧化物30包括第一表面20的金属,以致在金属氧化物的还原时,第一表面的块体金属保持住。在一些实施方式中,第一基板表面的金属氧化物包括氧化铜、氧化钴、氧化镍及氧化钌的一种或多种。
一些实施方式的第二表面40包括介电材料。在一个或更多个实施方式中,第二表面40包括具有羟基封端表面50的介电材料。羟基封端亦可称为“羟基修饰(hydroxy-modified)”与诸如此类者,以使表面具有羟基。
包括第一表面20、金属氧化物30、及具有羟基封端表面50的第二表面40的基板10被暴露于醇类。如图1B所示,醇类将金属氧化物30还原成第一表面20(例如第一金属)。金属氧化物变成金属的还原亦可称为还原成零价金属。例如,氧化铜被还原成铜。
暴露于醇类亦会酯化电介质40的羟基封端表面50成烷氧基封端60的第二表面40。如在本说明书与随附的权利要求书中所使用的,术语“烷氧基封端”是指具有-OR基团的表面。术语“烷氧基封端”与“烷氧基修饰”交替地被使用。根据所使用的醇类,烷氧基封端表面可具有任何R基团。烷氧基不被限制在烷烃类,并且可以是例如烷类、烯类、炔类、环烷类、环烯类、环炔类、芳烃类(亦称为芳氧类)、或上述的组合。例如,具有羟基封端的二氧化硅电介质可通过乙醇来酯化成具有乙氧基封端的二氧化硅电介质。
第二表面40的电介质可以是任何适当的电介质。在一些实施方式中,第二表面40的电介质包括低k电介质。如在本说明书与随附的权利要求书中所使用的,术语低k电介质是指具有介电常数小于或等于约5的介电材料。
醇类可以是任何适当的醇类,取决于例如第一表面、第二表面、沉积温度及所形成的最终金属膜。一些实施方式的醇类是伯醇与仲醇的一种或多种。
在一些实施方式中,醇类是伯醇。适当的伯醇包括但不限于甲醇、乙醇、1-丙醇、异丙醇、1-丁醇、1-戊醇、异戊醇、环戊醇、1-己醇、环己醇、1-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十一醇、1-十二醇、1-十四醇、1-十八醇、丙烯醇(2-丙基-1-醇)、巴豆醇(顺式或反式)、甲基乙烯基甲醇、苯甲醇、α-苯基乙醇、1,2-乙二醇、1,3-丙二醇、2,2-二甲基-1-丙醇(新戊醇)、2-甲基-1-丙醇、3-甲基-1-丁醇、及1,2-丙二醇(丙烯二醇)。在一个或更多个实施方式中,伯醇选自由甲醇、乙醇、1-丙醇、异丙醇、1-丁醇、1-戊醇、异戊醇、环戊醇、1-己醇、环己醇、1-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十一醇、1-十二醇、1-十四醇、1-十八醇、丙烯醇(2-丙基-1-醇)、巴豆醇(顺式或反式)、甲基乙烯基甲醇、苯甲醇、α-苯基乙醇、1,2-乙二醇、1,3-丙二醇、2,2-二甲基-1-丙醇(新戊醇)、2-甲基-1-丙醇、3-甲基-1-丁醇、1,2-丙二醇(丙烯二醇)、及上述的组合所构成的组。
在一些实施方式中,醇类是仲醇。适当的仲醇包括但不限于2-丁醇、β-苯基乙醇、二苯基甲醇、及1,2-丙二醇(丙烯二醇)。丙烯二醇(1,2-丙二醇)可作为伯醇与仲醇两者。在一个或更多个实施方式中,仲醇选自由2-丁醇、β-苯基乙醇、二苯基甲醇、及1,2-丙二醇(丙烯二醇)、及上述的组合所构成的组。
在一些实施方式中,醇类具有通式
其中R和R’各独立地选自由氢、烷类、烯类、炔类、环烷类、环烯类、环炔类、及具有碳原子在1至20范围中的芳香族构成的组。
在一些实施方式中,醇类是羧酸。在此情况中,用作醇类的化合物不是严格地为具有化学式R-OH的醇类,而是含有R-COOH形式的羟基。在一些实施方式中,醇类被具有化学式RCOH的醛类取代,如本领域技术人员所理解的。
在一些实施方式中,醇类是具有以下通式的羧酸
其中R选自由氢、烷类、烯类、炔类、环烷类、环烯类、环炔类、及具有碳原子在1至20范围中的芳香族构成的组。
在一些实施方式中,还原剂是醛类,而不是醇类,醛类具有以下通式
其中R选自由氢、烷类、烯类、炔类、环烷类、环烯类、环炔类、及具有碳原子在1至20范围中的芳香族构成的组。
预处理(即醇类、羧酸、或醛类被暴露于基板表面)的温度取决于例如第一表面、第二表面、所使用的还原剂(例如醇类、羧酸、或醛类)、所计划的未来处理、过去的处理、及所使用的处理设备。例如,较低的温度工艺可有助于保存基板的热预算以供进一步处理,或所利用的还原剂具有较高的沸点。在一些实施方式中,基板表面在约140℃至约300℃范围中的温度下被暴露于醇类或其他还原剂。在一个或更多个实施方式中,基板表面在约180℃至约280℃范围中或在约190℃至约270℃范围中或在约200℃至约260℃范围中或在约210℃至约250℃范围中的温度下被暴露于预处理(例如醇类或其他还原剂)。在一些实施方式中,预处理期间的工艺温度小于约310℃、或小于约300℃、或小于约290℃、或小于约280℃、或小于约270℃、或小于约260℃、或小于约250℃、或小于约240℃。在一些实施方式中,在预处理期间,暴露于还原剂发生在气相中。
在暴露于预处理还原剂(例如醇类、醛类、或羧酸)之后,第一表面上的金属氧化物膜已经被还原成第一金属,且第二表面(例如电介质)已经受到保护。这使得金属膜或含金属膜可选择性地相对于被沉积在第二表面上而被沉积在第一表面的第一金属上。可通过任何适当的方法(例如原子层沉积、化学气相沉积)来沉积金属膜。
参照图1B至图1C的改变,在保护第二表面40与制备第一表面20(即移除氧化物层)之后,基板表面可被暴露于一个或更多个沉积气体,以沉积第二金属70或第二含金属膜到第一表面20上。此沉积可相对于烷氧基封端60的第二表面40或受保护的第二表面40而选择性地发生在表面20上。
任何适当的金属可被沉积成第二金属或含金属膜。在一些实施方式中,金属膜包括钴、铜、镍、钨、及钌的一种或更多种。例如,钴膜可被沉积在铜上方之上,而实质上没有沉积在受保护的电介质上。如在本说明书与随附的权利要求书中所使用的,此方面所使用的术语“实质上没有沉积”是指在表面60上对在表面20上的沉积层70的沉积厚度比例在0~0.1或0~0.01范围中的比例。
在一些实施方式中,第一表面20包括铜且第二金属70包括钴。在一个或更多个实施方式中,用以沉积第二金属70的一个或更多个沉积气体是二羰基环戊二烯钴(CpCoCO)、二钴六羰基叔丁基乙炔(CCTBA)、双(2,2,6,6-四甲基-3,5-庚烯酮亚胺)钴、双(二甲基胺-2-丙氧基)铜、双(二甲基胺基-2-乙氧基)铜、双(1-乙基甲基胺基-2-丁氧基)铜、双(1-乙基甲基胺基-2-丙氧基)铜、双(二甲基胺基-2-丙氧基)镍、和/或双(2,2,6,6-四甲基-3,5-庚烯酮亚胺)镍的一种或多种。
在一个或更多个实施方式中,第一表面20包括钴且第二金属70包括铜。在一些实施方式中,第一表面20包括镍且第二金属70包括铜与钴的一种或多种。
一旦第二金属70已经被沉积,可执行进一步的处理。例如,参照图1C和图1D,可发生烷氧基封端60的第二表面40的羟基化。这可通过任何适当的方法或技术来完成,其中该方法或技术可在沉积第二金属膜之后从烷氧基封端的介电表面移除烷氧基封端部(诸如水蒸气)。
本公开内容的一些实施方式涉及金属沉积工艺,包括CVD与ALD。在一些实施方式中,ALD工艺具有泵送到基板上的依序的金属前驱物与醇类。这可允许金属膜共形地沉积。ALD工艺亦使得金属膜厚度容易地可控制。在此ALD工艺中,醇类的使用是它对于金属(例如铜)前驱物的还原能力,以产生清洁的铜膜而没有污染。醇类蒸气是可挥发的,并且其衍生产物(醛类)是更易挥发的并使金属(例如铜)膜为清洁的。
本公开内容的一些实施方式通过同时地或依序地暴露基板于铜前驱物与醇类而沉积铜膜。适当的铜前驱物包括但不限于双(二甲基胺基-2-丙氧基)铜、双(二甲基胺基-2-乙氧基)铜、双(甲基胺基-2-丙氧基)铜、双(胺基-2-乙氧基)铜、双(二甲基胺基-2-甲基-2-丙氧基)铜、双(二乙基胺基-2-丙氧基)铜、双(二甲氧基乙氧基)铜、双(2,2,6,6-四甲基-3,5-庚二酮)铜、双(2,2,6,6-四甲基-3,5-庚烯酮亚胺)铜、二甲基胺基-2-丙氧基铜(TMVS)、2,2,6,6-四甲基-3,5-庚二酮铜(TMVS)、与含氟前驱物。
本公开内容的一个或更多个实施方式涉及用于集成电路(IC)线起始端(beginning end of line,BEOL)互连的集成工艺。一些实施方式是结合下方的阻障物阻挡膜来使用的,但不限于Ru、Mn、Co、Ta层与其氧化物和氮化物化合物、以及各种层的堆叠。
本公开内容的一些实施方式对于用于以Cu电镀的集成工艺的Cu种晶亦是有用的。本公开内容的实施方式包括高产量ALD铜膜沉积工艺且沉积速率高达在从至/循环的范围中。
本公开内容的一个或更多个实施方式涉及沉积膜的方法。将基板暴露于一个或更多个沉积气体,这些沉积气体包括第一反应性气体与第二反应性气体,所述第一反应性气体包括铜、钴、镍、或钨的一种或多种,所述第二反应性气体包括醇类。膜形成工艺可以与用以制备选择性沉积的表面的先前还原剂相同或不同的醇类发生。例如,第一醇类可被暴露于基板,以还原基板上的金属表面成零价金属且保护电介质,接着暴露于第一反应性气体与第二反应性气体,其中第二反应性气体包括不同于第一醇类的醇类。
膜形成工艺可以是CVD工艺,其中第一反应性气体与第二反应性气体同时被暴露于基板表面,以致第一反应性气体与第二反应性气体在膜的形成期间混合。
在一些实施方式中,膜形成工艺是ALD工艺,其中基板或基板的部分依序地被暴露于第一反应性气体与第二反应性气体。依序的暴露是指基板或基板的部分在任何给定时间被暴露于第一反应性气体与第二反应性气体的仅一者。在ALD工艺中,实质上没有第一反应性气体与第二反应性气体的气相混合。
本案发明人已经发现使用醇类作为还原剂的金属或含金属膜的形成可在较低温度下执行。没有并入醇类还原剂的典型工艺是在较高的温度(例如高达约650℃)下执行的。在这些较高的温度下,所使用的金属前驱物会分解,以致过量的碳与氮无法(轻易地)从最终的膜被移除。例如,使用氢作为还原剂的金属沉积大致上在大于200℃的温度(典型地是250℃)执行。在此温度下,金属前驱物可能将会分解。在本公开内容的一些实施方式中,实质上没有第一前驱物的气相分解。如在本说明书与随附的权利要求书中所使用的,术语“实质上没有分解”是指具有小于1%的分解。在一些实施方式中,基板被维持在约100℃至约250℃范围中或在约100℃至约200℃范围中或小于约250℃、200℃、175℃、150℃或125℃的温度。
在一些实施方式中,基板包含包括金属氧化物的第一基板表面和包括电介质的第二基板表面。在一个或更多个实施方式中,第一表面包括Co、Ru、W、或上述的氧化物。在一些实施方式中,第二表面包括SiO2。基板(第一基板表面与第二基板表面两者)可被暴露于醇类,以在暴露于第一反应性气体之前将金属氧化物还原成第一金属且形成烷氧基封端的介电表面。
图2显示空间原子层沉积批处理器(称为处理腔室110)的实施方式。处理腔室110与所述部件的形状仅作为示例且不应被视为对本公开内容的范围构成限制。例如,八边形的处理腔室可以是圆形的或六边形的等。负载锁定(load lock)112腔室连接到处理腔室110的前端(其可任意地被指定成前端)且提供处理腔室的内部和处理腔室110的外部气氛隔离的方式。负载锁定112可以是任何适当的负载锁定,并且可以如本领域技术人员所熟知的任何适当负载锁定的方式运作。
基板160通过处理腔室110到负载区域120内。在负载区域120中,基板160可经受处理状态或可停置。负载区域中的处理状态例如可以是基板160的预热到工艺温度、暴露于预处理(例如醇类暴露)、或清洁。在一些实施方式中,基板160被暴露于预处理,所述预处理包括气体醇类,以将金属氧化物表面还原成金属与烷氧基化介电表面。
基板160从负载区域侧向地被移动通过气帘140到第一工艺区域121。用来描述工艺区域的顺序数字的使用仅仅是示例性的,并且不应被视为对本公开内容的范围构成限制。术语“第一工艺区域”、“第二工艺区域”等的使用仅意图作为描述处理腔室的不同部分的一种方便方式。腔室内的这些工艺区域的具体位置不限制于所显示的实施方式。基板160的侧向移动可通过基座166绕着轴的旋转(如箭头117所示)而发生。在第一工艺区域121中,基板160被暴露于第一反应性气体。
基板160在处理腔室110内从第一工艺区域121侧向地被移动通过气帘140到第二工艺区域122。气帘140提供处理腔室110内的各种工艺区域之间的分离。图上显示气帘是具有被切去内端的楔形部件,但是可理解的是气帘可以是适于维持这些工艺区域的隔离的任何形状。气帘140可包括惰性气体和/或真空口的任何适当的组合,其能分离各个工艺区域的气氛。在一些实施方式中,气帘140依序包括真空口、惰性气体、和另一真空口。在基板从第一工艺区域121移动到第二工艺区域122期间的某些时刻,基板的一部分被暴露于第二工艺区域,同时基板的另一部分被暴露于第一工艺区域121,且中心部分位于气帘140内。
在第二工艺区域122中,基板160被暴露于第二反应性气体,所述第二反应性气体包括醇类。当负载区域120包括醇类处理时,用在负载区域120中的醇类可以与用在第二反应性气体中的醇类相同或不同。例如,基板可在负载区域120中被暴露于甲醇且在第二工艺区域122中被暴露于乙醇。
基板160可沿着由箭头117所示的圆形路径而连续侧向地移动,以暴露基板于第三工艺区域123、第四工艺区域124、第五工艺区域125、第六工艺区域126、及第七工艺区域127,并且返回到负载区域。在一些实施方式中,负载区域120、第二工艺区域122、第四工艺区域124、和第六工艺区域126各暴露基板于包括醇类的第二反应性气体,并且第一工艺区域121、第三工艺区域123、第五工艺区域125、和第七工艺区域127各暴露基板160于第一反应性气体。图2所示的实施方式具有位于第一、第三、第五和第七工艺区域之上的楔形气体分配组件130,为了清楚而显示介于这些气体分配组件130之间且位于基座166上的基板160。然而,可理解的是任何或所有的这些工艺区域可具有气体分配组件130或其他气体输送系统。
实施例
具有钌与二氧化硅的基板在155℃下被暴露于Cu(DMAP)2,而不具有醇类暴露。Cu(DMAP)2被脉冲化达500个循环。在此温度下,在基板上没有观察到铜膜。
具有钌与二氧化硅表面的基板被暴露于乙醇预处理,接着进行在155℃下的Cu(DMAP)2与乙醇的500个循环。铜膜以约/循环被沉积到表面上。Cu膜具有约2×10- 6ohm cm的低电阻。
在一些实施方式中,工艺发生在批处理腔室中。例如,在旋转的压板腔室(platenchamber)中,一个或更多个晶片被放置在旋转的保持件(“压板”)上。当压板旋转时,晶片在各个处理区域之间移动。例如,在ALD中,处理区域暴露晶片于前驱物和反应物。此外,等离子体暴露对于适当地处理膜或用于增强膜生长的表面、或对于获得期望的膜性质是有用的。
本公开内容的一些实施方式是在单一处理腔室中处理具有第一表面和第二表面的基板,其中在腔室的第一部分中,基板表面被暴露于还原剂(例如醇类)以还原金属氧化物且保护第二表面。基板被旋转至处理腔室的第二部分、或第二与后续的第三部分或更多者,以在第一金属表面上沉积金属膜。在一些实施方式中,基板可进一步被旋转或被移动至处理腔室的另一部分,其中第二表面的烷氧基封端部可被移除。为了分离处理腔室的这些部分或这些区域的各者或任一者,可使用气帘。气帘提供介于这些处理区域之间的净化气体(purge gas)和真空口的一个或更多者,以避免反应性气体从一个区域移动到相邻区域。在一些实施方式中,基板同时被暴露于超过一个处理区域,其中基板的一部分位于第一区域中(例如为了醇类暴露)且基板的另一部分同时位于处理腔室的不同的区域中(例如为了金属沉积)。
本公开内容的实施方式可与线性处理系统或可旋转处理系统一起使用。在线性处理系统中,等离子体离开壳体的区域的宽度在前正面的整个长度是实质上相同的。在可旋转处理系统中,壳体可以大致上是“饼形(pie-shaped)”或“楔形”。在楔形区部中,等离子体离开壳体的区域的宽度改变以适应饼形。如在本说明书与随附的权利要求书中所使用的,术语“饼形”与“楔形”交替地被使用,以描述大致上圆形区块的主体。例如,楔形区部可以是圆形或盘形片(disc-shaped piece)的一区片。饼形区部的内缘可达到一点或可被切去成平坦边缘或圆的。基板的路径可垂直于气体口。在一些实施方式中,各个气体注射器组件包括多个长气体口,所述长气体口在实质上垂直于基板所横越的路径的方向上延伸。如在本说明书与随附的权利要求书中所使用的,术语“实质上垂直于”是指基板的大致移动方向是沿着近似垂直于(例如约45°至90°)这些气体口的轴的平面。对于楔形气体口,气体口的轴可被视为一线,该线被定义成沿着口的长度延伸的口的宽度的中间点。
本公开内容的额外实施方式涉及处理多个基板的方法。将所述多个基板装载到处理腔室中的基板支撑件上。使基板支撑件旋转,以使所述多个基板的各者通过气体分配组件而暴露基板表面于还原剂(例如醇类),在基板上沉积膜,且可选地,通过还原剂暴露而移除保护层。这些工艺步骤、还原剂暴露、金属沉积、或羟基化的任一者在移动到下一工艺之前或依序地可被重复。
旋转架(carousel)的旋转可以是连续的或非连续的。在连续的处理中,这些晶片恒定地旋转,以致他们依序被暴露于注射器的各者。在非连续的处理中,这些晶片可被移动到注射器区域且停止,并且接着到介于这些注射器之间的区域且停止。例如,旋转架可旋转,以致这些晶片从注射器间(inter-injector)区域跨越该注射器(或在邻近于该注射器处停止)且到旋转架可再次暂停的下一个注射器间区域上。这些注射器之间的暂停可提供用于各层沉积之间的额外处理(例如暴露于等离子体)的时间。等离子体的频率可根据所使用的具体反应性物种进行调节。适当的频率包括但不限于400kHz、2MHz、13.56MHz、27MHz、40MHz、60MHz、和100MHz。
根据一个或更多个实施方式,基板在形成层之前和/或之后经受处理。此处理可在相同的腔室中或在一个或更多个不同的处理腔室中执行。在一些实施方式中,基板从第一腔室被移动到不同的第二腔室以进行进一步处理。基板可从第一腔室直接被移动到不同的处理腔室,或者基板可从第一腔室被移动到一个或更多个传送腔室,并接着被移动到不同的处理腔室。因此,处理设备可包括与传送站(transfer station)连通的多个腔室。此种设备可称为“群集工具(cluster tool)”或“群集系统”和诸如此类者。
一般来说,群集工具是包括多个腔室的模块化系统(modular system),这些腔室执行包括基板中心寻找与定向、除气(degassing)、退火、沉积和/或蚀刻的各种功能。根据一个或更多个实施方式,群集工具至少包括第一腔室和中央传送腔室。中央传送腔室可容纳机械手,所述机械手可在处理腔室与负载锁定腔室之间运输(shuttle)基板。传送腔室典型地被维持在真空状态且提供用于将基板从一个腔室运输到另一腔室和/或负载锁定腔室的中间阶段,其中所述负载锁定腔室位于群集工具的前端处。适于本公开内容的两个熟知的群集工具是可从美国Calif(加州)Santa Clara(圣克拉拉)市的Applied Materials,Inc.(应用材料公司)购得的和这种阶段式真空基板处理设备的细节披露于1993年2月16日授权的Tepman等人的发明名称为“Staged-Vacuum WaferProcessing Apparatus and Method”的美国专利案号5,186,718中。然而,为了执行在此所述的工艺的具体步骤的目的,可变更这些腔室的精确布置与组合。可使用的其他处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洁、化学清洁、热处理(诸如RTP)、等离子体氮化、除气、定向、羟基化、和其他基板工艺。通过在群集工具上的腔室中实施工艺,可避免基板的表面受到大气杂质的污染,而在沉积后续的膜之前不会氧化。
根据一个或更多个实施方式,基板持续地处于真空或“负载锁定”状态下,并且当从一个腔室被移动到下一个腔室时没有被暴露于外界空气。因此传送腔室处于真空下且“被泵抽”于真空压力下。惰性气体可存在于处理腔室或传送腔室中。在一些实施方式中,惰性气体被用作净化气体,以在基板的表面上形成层之后移除一些或所有的反应物。根据一个或更多个实施方式,净化气体被注射在沉积腔室的出口处,以避免反应物从沉积腔室移动到传送腔室和/或额外的处理腔室。因此,惰性气体的流动在腔室的出口处形成一帘。
在处理期间,基板可被加热或被冷却。这样的加热或冷却可通过任何适当的方式来完成,包括但不限于改变基板支撑件(例如基座)的温度与使经加热或经冷却的气体流动到基板表面。在一些实施方式中,基板支撑件包括可受到控制以传导性地(conductively)改变基板温度的加热器/冷却器。在一个或更多个实施方式中,所使用的气体(反应性气体或惰性气体)被加热或被冷却,以局部地改变基板温度。在一些实施方式中,加热器/冷却器位于腔室内而邻近于基板表面以对流地改变基板温度。
基板在处理期间亦可以是不动的或旋转的。旋转的基板可持续地或以多个谨慎步骤的方式旋转。例如,基板可在整个工艺中旋转,或基板可在暴露于不同反应性气体或净化气体之间少量地旋转。在处理期间旋转基板(持续地或以多个步骤的方式)可有助于通过将例如气体流几何形态中的局部变化予以最小化而产生更均匀的沉积或蚀刻。
尽管上述说明针对本公开内容的实施方式,可在不背离本公开内容的基本范围的情况下,设计出本公开内容的其他和进一步的实施方式,并且本公开内容的范围由随附的权利要求书所确定。
Claims (15)
1.一种沉积膜的方法,所述方法包括将基板暴露于第一反应性气体和第二反应性气体,其中所述第一反应性气体包括铜、钴、镍或钨的一种或多种,所述第二反应性气体包括醇类。
2.如权利要求1所述的方法,其中将所述基板同时暴露于所述第一反应性气体和所述第二反应性气体。
3.如权利要求1所述的方法,其中将所述基板依序地暴露于所述第一反应性气体和所述第二反应性气体。
4.如权利要求3所述的方法,其中将所述基板在处理腔室内从包括所述第一反应性气体的第一工艺区域侧向地移动通过气帘到包括所述第二反应性气体的第二工艺区域。
5.如权利要求4所述的方法,其中在所述基板的侧向移动期间,所述基板的部分同时暴露于所述第一反应性气体、所述气帘和所述第二反应性气体。
6.如权利要求1至5所述的方法,其中所述基板在小于约250℃的温度下暴露于所述第一反应性气体和所述第二反应性气体。
7.如权利要求6所述的方法,其中所述温度小于约200℃。
8.如权利要求1至5所述的方法,其中所述醇类包括伯醇或仲醇的一种或多种。
9.如权利要求8所述的方法,其中所述醇类选自由甲醇、乙醇、1-丙醇、异丙醇、1-丁醇、1-戊醇、异戊醇、环戊醇、1-己醇、环己醇、1-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十一醇、1-十二醇、1-十四醇、1-十八醇、丙烯醇(2-丙基-1-醇)、巴豆醇(顺式或反式)、甲基乙烯基甲醇、苯甲醇、α-苯基乙醇、1,2-乙二醇、1,3-丙二醇、2,2-二甲基-1-丙醇(新戊醇)、2-甲基-1-丙醇、3-甲基-1-丁醇、1,2-丙二醇(丙烯二醇)、2-丁醇、β-苯基乙醇、二苯基甲醇、及上述的组合所构成的组。
10.如权利要求8所述的方法,其中所述醇类具有以下通式
其中R和R’各独立地选自由氢、烷类、烯类、炔类、环烷类、环烯类、环炔类、及具有碳原子在1至20范围中的芳香族构成的组。
11.如权利要求1至5所述的方法,其中所述第一反应性气体包括二羰基环戊二烯钴(CpCoCO)、二钴六羰基叔丁基乙炔(CCTBA)、双(2,2,6,6-四甲基-3,5-庚烯酮亚胺)钴、双(二甲基胺基-2-丙氧基)铜、双(二甲基胺基-2-乙氧基)铜、双(1-乙基甲基胺基-2-丁氧基)铜、双(1-乙基甲基胺基-2-丙氧基)铜、双(二甲基胺基-2-丙氧基)镍、和/或双(2,2,6,6-四甲基-3,5-庚烯酮亚胺)镍的一种或多种。
12.如权利要求1至5所述的方法,其中所述基板包括第一基板表面和第二基板表面,所述第一基板表面包括金属氧化物,所述第二基板表面包括电介质,且所述方法进一步包括将所述基板暴露于包括醇类的预处理,以在暴露于所述第一反应性气体之前将所述金属氧化物还原成第一金属并且形成烷氧基封端的介电表面。
13.如权利要求12所述的方法,其中所述第一基板表面的所述金属氧化物包括氧化铜、氧化钴、氧化镍和氧化钌的一种或多种。
14.一种沉积膜的方法,所述方法包括:
提供基板,所述基板具有第一基板表面和第二基板表面,所述第一基板表面包括金属氧化物,所述第二基板表面包括电介质;和
将所述基板暴露于预处理,所述预处理包括在处理腔室的第一工艺区域中的第一醇类,所述醇类将所述金属氧化物还原成第一金属并且形成烷氧基封端的介电表面;
从所述第一工艺区域侧向地移动所述基板通过气帘到第二工艺区域;
将所述基板暴露于位于所述第二工艺区域中的第一反应性气体,所述第一反应性气体包括铜、钴、镍或钨的一种或多种;
从所述第二工艺区域侧向地移动所述基板通过气帘到第三工艺区域;和
将所述基板暴露于位于所述第三工艺区域中的第二反应性气体,所述第二反应性气体包括第二醇类,
其中所述第一醇类和所述第二醇类各独立地选自由甲醇、乙醇、1-丙醇、异丙醇、1-丁醇、异丁醇、1-戊醇、异戊醇、环戊醇、1-己醇、环己醇、1-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十一醇、1-十二醇、1-十四醇、1-十八醇、丙烯醇(2-丙基-1-醇)、巴豆醇(顺式或反式)、甲基乙烯基甲醇、苯甲醇、α-苯基乙醇、1,2-乙二醇、1,3-丙二醇、2,2-二甲基-1-丙醇(新戊醇)、2-甲基-1-丙醇、3-甲基-1-丁醇、1,2-丙二醇(丙烯二醇)、2-丁醇、β-苯基乙醇、二苯基甲醇、及上述的组合所构成的组。
15.如权利要求14所述的方法,其中所述方法发生在小于或等于约200℃的温度。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462082944P | 2014-11-21 | 2014-11-21 | |
US62/082,944 | 2014-11-21 | ||
PCT/US2015/056876 WO2016081146A1 (en) | 2014-11-21 | 2015-10-22 | Alcohol assisted ald film deposition |
Publications (2)
Publication Number | Publication Date |
---|---|
CN107208262A true CN107208262A (zh) | 2017-09-26 |
CN107208262B CN107208262B (zh) | 2019-09-13 |
Family
ID=56009604
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201580063083.1A Active CN107208262B (zh) | 2014-11-21 | 2015-10-22 | 醇类辅助ald膜沉积 |
Country Status (5)
Country | Link |
---|---|
US (3) | US9914995B2 (zh) |
KR (1) | KR102493327B1 (zh) |
CN (1) | CN107208262B (zh) |
TW (1) | TWI683917B (zh) |
WO (1) | WO2016081146A1 (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN111356785A (zh) * | 2017-11-19 | 2020-06-30 | 应用材料公司 | 用于金属氧化物在金属表面上的ald的方法 |
CN111742077A (zh) * | 2017-12-28 | 2020-10-02 | 朗姆研究公司 | 使用化学抑制对膜进行保形性调节 |
CN114981472A (zh) * | 2020-10-27 | 2022-08-30 | 应用材料公司 | 在金属表面上选择性沉积钝化膜 |
Families Citing this family (306)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090269507A1 (en) * | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US20170029948A1 (en) * | 2015-07-28 | 2017-02-02 | Asm Ip Holding B.V. | Methods and apparatuses for temperature-indexed thin film deposition |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US20170372919A1 (en) * | 2016-06-25 | 2017-12-28 | Applied Materials, Inc. | Flowable Amorphous Silicon Films For Gapfill Applications |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
TWI790320B (zh) * | 2017-12-16 | 2023-01-21 | 美商應用材料股份有限公司 | 釕的選擇性原子層沉積 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
CN112292478A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11450525B2 (en) | 2018-09-14 | 2022-09-20 | Applied Materials, Inc. | Selective aluminum oxide film deposition |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11932938B2 (en) * | 2019-08-01 | 2024-03-19 | Applied Materials, Inc. | Corrosion resistant film on a chamber component and methods of depositing thereof |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20220113962A (ko) * | 2019-12-12 | 2022-08-17 | 가부시키가이샤 아데카 | 구리 함유층의 제조 방법 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
TW202126844A (zh) * | 2020-01-10 | 2021-07-16 | 美商應用材料股份有限公司 | 金屬氧化物的低溫原子層沉積 |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11545354B2 (en) * | 2020-07-22 | 2023-01-03 | Applied Materials, Inc. | Molecular layer deposition method and system |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
US20220064784A1 (en) * | 2020-09-03 | 2022-03-03 | Applied Materials, Inc. | Methods of selective deposition |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11972940B2 (en) | 2022-04-18 | 2024-04-30 | Applied Materials, Inc. | Area selective carbon-based film deposition |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030064153A1 (en) * | 2001-10-01 | 2003-04-03 | Rajendra Solanki | Method of depositing a metallic film on a substrate |
US20050084610A1 (en) * | 2002-08-13 | 2005-04-21 | Selitser Simon I. | Atmospheric pressure molecular layer CVD |
US20060199399A1 (en) * | 2005-02-22 | 2006-09-07 | Muscat Anthony J | Surface manipulation and selective deposition processes using adsorbed halogen atoms |
US20100301478A1 (en) * | 2007-12-05 | 2010-12-02 | Thomas Waechtler | Substrate Having a Coating Comprising Copper and Method for the Production Thereof by Means of Atomic Layer Deposition |
US20120070981A1 (en) * | 2010-09-17 | 2012-03-22 | Clendenning Scott B | Atomic layer deposition of a copper-containing seed layer |
CN102912320A (zh) * | 2008-01-29 | 2013-02-06 | 国立大学法人长冈技术科学大学 | 堆积装置和堆积方法 |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US7354873B2 (en) * | 1998-02-05 | 2008-04-08 | Asm Japan K.K. | Method for forming insulation film |
US7419903B2 (en) * | 2000-03-07 | 2008-09-02 | Asm International N.V. | Thin films |
US20020013487A1 (en) * | 2000-04-03 | 2002-01-31 | Norman John Anthony Thomas | Volatile precursors for deposition of metals and metal-containing films |
TW508658B (en) | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
WO2001095690A1 (en) | 2000-06-06 | 2001-12-13 | Ekc Technology, Inc. | Method of making electronic materials |
US6759081B2 (en) | 2001-05-11 | 2004-07-06 | Asm International, N.V. | Method of depositing thin films for magnetic heads |
US20040058059A1 (en) | 2001-11-07 | 2004-03-25 | Linford Mathew Richard | Funtionalized patterned surfaces |
EP1485513A2 (en) | 2002-03-08 | 2004-12-15 | Sundew Technologies, LLC | Ald method and apparatus |
JP2006505127A (ja) | 2002-10-29 | 2006-02-09 | エーエスエム インターナショナル エヌ.ヴェー. | 酸素架橋構造及び方法 |
-
2015
- 2015-10-22 WO PCT/US2015/056876 patent/WO2016081146A1/en active Application Filing
- 2015-10-22 CN CN201580063083.1A patent/CN107208262B/zh active Active
- 2015-10-22 KR KR1020177017129A patent/KR102493327B1/ko active IP Right Grant
- 2015-10-22 US US14/920,001 patent/US9914995B2/en active Active
- 2015-10-26 TW TW104135111A patent/TWI683917B/zh active
-
2018
- 2018-03-01 US US15/909,329 patent/US20190368034A1/en not_active Abandoned
- 2018-03-01 US US15/909,352 patent/US10724135B2/en active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20030064153A1 (en) * | 2001-10-01 | 2003-04-03 | Rajendra Solanki | Method of depositing a metallic film on a substrate |
US20050084610A1 (en) * | 2002-08-13 | 2005-04-21 | Selitser Simon I. | Atmospheric pressure molecular layer CVD |
US20060199399A1 (en) * | 2005-02-22 | 2006-09-07 | Muscat Anthony J | Surface manipulation and selective deposition processes using adsorbed halogen atoms |
US20100301478A1 (en) * | 2007-12-05 | 2010-12-02 | Thomas Waechtler | Substrate Having a Coating Comprising Copper and Method for the Production Thereof by Means of Atomic Layer Deposition |
CN102912320A (zh) * | 2008-01-29 | 2013-02-06 | 国立大学法人长冈技术科学大学 | 堆积装置和堆积方法 |
US20120070981A1 (en) * | 2010-09-17 | 2012-03-22 | Clendenning Scott B | Atomic layer deposition of a copper-containing seed layer |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN111356785A (zh) * | 2017-11-19 | 2020-06-30 | 应用材料公司 | 用于金属氧化物在金属表面上的ald的方法 |
CN111742077A (zh) * | 2017-12-28 | 2020-10-02 | 朗姆研究公司 | 使用化学抑制对膜进行保形性调节 |
CN114981472A (zh) * | 2020-10-27 | 2022-08-30 | 应用材料公司 | 在金属表面上选择性沉积钝化膜 |
Also Published As
Publication number | Publication date |
---|---|
US20180187304A1 (en) | 2018-07-05 |
US10724135B2 (en) | 2020-07-28 |
WO2016081146A1 (en) | 2016-05-26 |
US20190368034A1 (en) | 2019-12-05 |
US9914995B2 (en) | 2018-03-13 |
CN107208262B (zh) | 2019-09-13 |
US20160145738A1 (en) | 2016-05-26 |
KR102493327B1 (ko) | 2023-01-27 |
TWI683917B (zh) | 2020-02-01 |
KR20170086105A (ko) | 2017-07-25 |
TW201623668A (zh) | 2016-07-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN107208262B (zh) | 醇类辅助ald膜沉积 | |
CN106663614A (zh) | 利用醇选择性还原和保护的选择性沉积 | |
TWI737859B (zh) | 金屬表面上之氧化鋁的選擇性沉積 | |
WO2015103358A1 (en) | Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition | |
TWI790320B (zh) | 釕的選擇性原子層沉積 | |
US9881787B2 (en) | Deposition methods for uniform and conformal hybrid titanium oxide films | |
US9869024B2 (en) | Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor | |
TW202024381A (zh) | 選擇性氧化鋁膜沉積 | |
TWI643971B (zh) | 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積 | |
CN108028172A (zh) | 使用硅氢加成钝化的表面选择性原子层沉积 | |
CN110088875A (zh) | 无成核的间隙填充ald工艺 | |
JP2020504907A (ja) | ジルコニウム、ハフニウム、チタン前駆体およびそれを用いた4族含有膜の堆積 | |
KR20150125674A (ko) | SiOC를 포함하는 막의 촉매적 원자층 증착 | |
CN114262878A (zh) | 氧化硅沉积方法 | |
CN108369896A (zh) | 利用空间原子层沉积的原位膜退火 | |
TWI559381B (zh) | 金屬合金薄膜的原子層沉積 | |
TWI527823B (zh) | 製造含鎳薄膜的方法 | |
WO2016154305A1 (en) | Defect planarization | |
TW201443274A (zh) | 使用二矽氧烷先質之膜的沉積 | |
US20240071817A1 (en) | Adhesion improvement between low-k materials and cap layers | |
TW201615879A (zh) | 高溫二氧化矽原子層沉積技術 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |