CN110088875A - 无成核的间隙填充ald工艺 - Google Patents

无成核的间隙填充ald工艺 Download PDF

Info

Publication number
CN110088875A
CN110088875A CN201780077670.5A CN201780077670A CN110088875A CN 110088875 A CN110088875 A CN 110088875A CN 201780077670 A CN201780077670 A CN 201780077670A CN 110088875 A CN110088875 A CN 110088875A
Authority
CN
China
Prior art keywords
substrate surface
exposed
predecessor
substrate
section
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780077670.5A
Other languages
English (en)
Other versions
CN110088875B (zh
Inventor
陈一宏
凯尔文·陈
卢欣亮
斯里尼瓦·甘迪科塔
吴勇
苏米特·辛格·罗伊
基亚·成·蔡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110088875A publication Critical patent/CN110088875A/zh
Application granted granted Critical
Publication of CN110088875B publication Critical patent/CN110088875B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

处理方法包括通过以下步骤来形成包含钨或钼的间隙填充层:将具有至少一个特征在其上的基板表面依次地暴露于金属前驱物和包含氢的还原剂以在所述特征中形成所述间隙填充层,其中在所述基板表面与所述间隙填充层之间不存在成核层。

Description

无成核的间隙填充ALD工艺
技术领域
本公开内容一般地涉及沉积薄膜的方法。特别地,本公开内容涉及用于沉积包含例如钨或钼的间隙填充膜的方法。
背景技术
3D-NAND器件和用于应用诸如逻辑和DRAM的器件的制造包括可用金属填充字线、通孔、间隙等的工艺。在字线中存在金属允许到NAND晶体管的控制栅极的电连接。这样的金属填充的一个挑战是例如3D-NAND结构是数微米深。另一个挑战是金属还必须填充绝缘体堆叠(通常是氧化硅)之间的横向空间。
在具有超高深宽比的特征中沉积间隙填充薄膜(例如,含钨或含钼薄膜)是有挑战性的。3D半导体器件需要向水平沟槽和折返(reentrant)沟槽中进行无缝填充。不完整的沟槽填充可能引起高电阻、污染,填充材料的损失,并且因此引起器件性能的退化。
通常,含钨材料的原子层沉积(ALD)是基于二元反应WF6+3H2→W+6HF。简而言之,WF6和H2交替地(依次地)暴露于基板表面。据信,WF6在自限制的反应中在基板表面上部分地分解以形成W-F暴露的氟化W表面。H2脉冲将氟化W-F表面还原为W。然而,WF6与基板(典型地是TiN)的反应非常缓慢并表现出显著的孕育延迟(incubation delay)。WF6在基板表面上的这种成核问题造成随机表面生长和不良的沉积保形性。在WF6-H2ALD循环之前在TiN上沉积中间层可以用作成核促进剂。含钼材料的ALD呈现与含钨材料类似的化学物质和挑战。
使用金属硅化物(WSix和MoSix)的成核层已经用作克服包括(Si、SiO2、TiN等)在各种表面上的孕育延迟问题的方式。使用金属前驱物和硅烷(SiH4、Si2H6等)作为共反应物通过ALD沉积金属硅化物。然而,硅烷基金属硅化物成核层可表现出高电阻率和高界面氟水平。
本领域中需要沉积穿透且保形的膜以填充用于逻辑和DRAM以及其它应用的诸如3D-NAND字线、通孔和间隙的器件部件的方法。另外,本领域中需要保形地且有效地沉积包含例如钨或钼的间隙填充膜的方法。
发明内容
本公开内容的一个或多个实施方式涉及处理方法,所述处理方法包括通过以下步骤来形成包含钨或钼的所述间隙填充层:将具有至少一个特征在其上的基板表面依次地暴露于金属前驱物和包含氢的还原剂以在所述特征中形成间隙填充层。在所述基板表面与所述间隙填充层之间不存在成核层。
本公开内容的另外的实施方式涉及处理方法,所述处理方法包括将基板表面定位在处理腔室中。所述基板表面上具有至少一个特征。将所述基板表面依次地暴露于第一金属前驱物和反应物以形成底层,其中所述第一金属前驱物包括钛前驱物、铝前驱物和硅前驱物中的一种或多种,并且所述反应物包括氮前驱物、氧前驱物或它们的组合。将所述底层依次地暴露于包含钨前驱物或钼前驱物的第二金属前驱物和包含氢(H2)的还原剂以在所述底层上形成间隙填充层。
本公开内容的另外实施方式涉及处理方法,所述处理方法包括将具有基板表面的基板放入包括多个区段的处理腔室中。每个区段与相邻区段由气帘分开。所述基板表面具有至少一个特征,且所述至少一个特征具有顶部、底部和侧面以及大于或等于10:1的深宽比。在所述处理腔室的第一区段中将所述基板表面的至少一部分暴露于第一工艺条件。所述第一工艺条件包括钛、铝、硅或它们的组合的前驱物。横向地移动所述基板表面通过气帘到达所述处理腔室的第二区段。在所述处理腔室的所述第二区段中将所述基板表面暴露于所述第二工艺条件。所述第二工艺条件包括反应物以与所述钛、铝、硅或它们的组合的前驱物形成膜,所述膜包含TiN、TiN、TiON、TiSiN、TiSiON、AlN、TiAlN或TiAlON。任选地,重复暴露于所述第一区段和所述第二区段,包括横向地移动所述基板表面以形成底层。横向地移动所述基板表面通过气帘到达所述处理腔室的第三区段。在所述处理腔室的所述第三区段中将所述基板表面暴露于第三工艺条件。所述第三工艺条件包括钨前驱物或钼前驱物。横向地移动所述基板表面通过气帘到达所述处理腔室的第四区段。在所述处理腔室的所述第四区段中将所述基板表面暴露于第四工艺条件。所述第四工艺条件包括氢作为还原剂以与所述钨前驱物或所述钼前驱物形成膜。任选地,重复暴露于所述第三区段和所述第四区段,包括横向地移动所述基板表面以填充所述特征。
附图说明
以上简要概述本公开内容的详述特征可以被详细理解的方式、以及本公开内容的更特定描述,可通过参照实施方式来获得,一些实施方式绘示于所附图式中。然而,应当注意,附图仅绘示了本公开内容的典型实施方式,并且因此不应视为对本公开内容的范围的限制,因为本公开内容可以允许其它等同有效的实施方式。
图1示出根据本公开内容的一个或多个实施方式的批量处理腔室的横截面图;
图2示出根据本公开内容的一个或多个实施方式的批量处理腔室的局部透视图;
图3示出根据本公开内容的一个或多个实施方式的批量处理腔室的示意图;
图4示出根据本公开内容的一个或多个实施方式的用于批量处理腔室中的楔形气体分配组件的一部分的示意图;
图5示出根据本公开内容的一个或多个实施方式的批量处理腔室的示意图;及
图6是根据本公开内容的一个或多个实施方式的间隙填充工艺的工艺流程图。
具体实施方式
在描述本发明的若干示例性实施方式之前,应当理解,本发明不限于以下描述中阐述的构造或工艺步骤的细节。本发明能够具有其它实施方式并以各种方式实践或实施。
如本文所用的“基板”是指任何基板或在制造工艺期间在执行膜处理的基板上形成的材料表面。例如,取决于应用,可执行处理的基板表面包含某些材料,诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂的氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石,以及诸如金属、金属氮化物、金属合金和其它导电材料的任何其它材料。基板包括但不限于半导体晶片。基板可以暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟化、退火和/或烘烤基板表面。除了直接地在基板本身表面上的膜处理之外,在本发明中,所公开的膜处理步骤中的任一者也可以在形成在基板上的底层上执行,如下面更详细地公开的,并且术语“基板表面”旨在包括如上下文指示的此类底层。因此,例如,在膜/层或部分膜/层已经沉积到基板表面上时,新沉积的膜/层的暴露表面就会变成基板表面。
根据一个或多个实施方式,该方法使用原子层沉积(ALD)工艺。在这样的实施方式中,基板表面依次地或实质上依次地暴露于前驱物(或反应气体)。如本说明书通篇所用,“实质上依次地”是指前驱物暴露的持续时间中的大部分与暴露于成核剂不重叠,但是可能存在某种重叠。如本说明书和所附权利要求所用,术语“前驱物”、“反应物”、“反应气体”等可以互换地使用,以意指能够与基板表面反应的任何气体物质。
原子层沉积(ALD)是其中基板依次地暴露于前驱物和反应物以沉积膜的工艺。ALD是允许沉积工艺的单层控制的自限制的工艺。3DNAND结构的表面积中的很大部分在每个ALD循环中使用高剂量的前驱物。剂量不足可能引起非保形沉积。剂量典型地表达为前驱物的分压乘以暴露时间(1朗缪尔或1L=1E-6托-秒)。为了获得一定剂量,可以在低分压下长时间地或在高分压下短时间地暴露基板。在两种情况下的时间和压力的乘积是相等的。高剂量的前驱物可以用于在具有大表面积的深的嵌入结构上的表面饱和。虽然是参考3DNAND结构来呈现本公开内容的实施方式,但是本领域的技术人员应当理解,本公开内容不限于3DNAND器件。本公开内容的实施方式可以与其它应用(例如,逻辑和DRAM)一起使用。
高剂量对基于时间的ALD(也被称为时间ALD或时域ALD)提出了挑战。对于时间ALD,工艺时间和分压不是彼此独立的。暴露时间可以被最小化以实现高晶片产量。为了在短时间的暴露中实现高剂量,可以使用高前驱物分压。时间ALD的工艺时间和分压之间的互依赖性是在两个前驱物暴露(或前驱物和反应物)之间存在净化步骤以确保或最小化前驱物的任何气相混合这一事实的结果。
在暴露步骤期间使分压从零(在净化期间为零)斜升到某个高值需要时间。在净化步骤期间使分压从某些高值斜降到零也需要时间。因此,当需要高剂量前驱物时的总处理时间一般不短。使用低压就意味着分压的斜升/斜降更快,但是要使用更长的暴露时间才实现高剂量。使用高压就意味着分压的斜升/斜降较慢,但是短时间的暴露足以实现高剂量。
空间ALD在工艺时间与分压之间不具有基本互依赖性。对于空间ALD,前驱物循环在空间上是分开的。每个空间分开的区(工艺区域)可以维持压力而不斜升/斜降。对于空间ALD,在高压下短时间的暴露是可能的。前驱物暴露的长度是取决于基板可以多快地移入和移出每个空间分离的区。因此,据信,当使用高剂量前驱物工艺时,空间ALD可以实现比时间ALD高得多的晶片产量。
本发明的一个或多个实施方式沉积间隙填充层,例如W ALD或Mo ALD工艺,而没有成核层。本公开内容的一些实施方式提供有利地用膜填充深宽比大于5:1、10:1、15:1、20:1、25:1、30:1、35:1或40:1的特征的方法。有利地,这种W ALD或Mo ALD工艺可以与TiN ALD集成。
TiN和其它含Ti、Al和Si膜通常在介电基板上的W或Mo膜下。TiN通常用于介电基板上的W膜的胶层。应用包括3D-NAND字线、用于1x/y/z生成的DRAM掩埋字线、源极/漏极触点以及用于10/7/5nm技术节点的CMOS中的栅极金属。在本文中,将提供关于W的详细的化学物质和方法,但是应当理解,所述化学物质类似于Mo。有利地,氢用作还原剂而非诸如SiH4或B2H6的含氢化合物以实现低电阻率。例如,由SiH4或B2H6形成的膜的电阻率远高于H2还原膜。
常规地,TiN层和W层在不同腔室中处理,并且TiN层暴露于空气,同时直列等待WALD工艺。由于TiN层的表面氧化,W ALD工艺的孕育被延迟和恶化;因此需要成核层。一个或多个实施方式提供用于减少和/或消除所谓的胶层的表面氧化的方式,所述胶层可以包括但不限于:TiN、TiN、TiON、TiSiN、TiSiON、AlN、TiAlN或TiAlON。
本公开内容的一些实施方式涉及使用批量处理腔室(也被称为空间处理腔室)的膜沉积工艺。图1示出包括气体分配组件120(也称注入器或注入器组件)和基座组件140的处理腔室100的横截面。气体分配组件120是用于处理腔室中的任何类型的气体递送装置。气体分配组件120包括面向基座组件140的前表面121。前表面121可以具有任何数量或种类的开口以将气流向基座组件140递送。气体分配组件120还包括了外缘124,在所示的实施方式中,外缘是实质上圆形的。
使用的特定类型的气体分配组件120可根据所使用的特定工艺而变化。本公开内容的实施方式可与基座与气体分配组件之间的间隙被控制的任何类型处理系统一起使用。在二元反应中,多个气体通道可以包括至少一个第一反应气体A通道、至少一个第二反应气体B通道、至少一个净化气体P通道和/或至少一个真空V通道。从第一反应气体A通道、第二反应气体B通道和净化气体P通道流出的气体被引导向晶片的顶表面。一些气流水平地移动跨过晶片表面,并且通过净化气体P通道流出处理区域。
在一些实施方式中,气体分配组件120是由单个注入器单元制成的刚性固定主体。在一个或多个实施方式中,气体分配组件120由多个单独扇形区(sector)(例如,注入器单元122)组成,如图2所示。单件主体或多扇形区主体可与所描述的本公开内容的各种实施方式一起使用。
基座组件140定位在气体分配组件120下方。基座组件140包括顶表面141以及处在顶表面141中的至少一个凹部142。基座组件140还有底表面143和边缘144。取决于要处理的基板60的形状和大小,凹部142可为任何合适的形状和大小。在图1中所示的实施方式中,凹部142具有平坦底部,用于支撑晶片底部;然而,凹部底部可以变化。在一些实施方式中,凹部具有围绕凹部的外周边缘的阶梯区域,阶梯区域被设定大小以适于支撑晶片的外周边缘。晶片的外周边缘受阶梯状部支撑的程度可根据例如晶片厚度和已存在于晶片背面上的特征的存在而变化。
在一些实施方式中,如图1所示,基座组件140的顶表面141中的凹部142被设定大小以使得凹部142中支撑的基板60具有与基座组件140的顶表面141实质上共平面的顶表面61。如本说明书和随附权利要求使用的,术语“实质上共面的”表示晶片的顶表面和基座组件的顶表面是共面的,偏差在±0.2mm内。在一些实施方式中,顶表面在±0.15mm、±0.10mm或±0.05mm内是共平面的。
图1的基座组件140包括支撑支柱160,所述支撑支柱能够提升、降低和旋转基座组件140。基座组件可以包括加热器或气体线路,或处在支撑支柱160的中心内的电力部件。支撑支柱160可以是增大或减小基座组件140与气体分配组件120之间的间隙以将基座组件140移动到适当位置的主要手段。基座组件140还可包括微调致动器162,所述微调致动器可对基座组件140进行微调以在基座组件140与气体分配组件120之间形成预定间隙170。
在一些实施方式中,间隙170距离在约0.1mm至约5.0mm的范围内,或在约0.1mm至约3.0mm的范围内,或在约0.1mm至约2.0mm的范围内,或在约0.2mm至约1.8mm的范围,或在约0.3mm至约1.7mm的范围内,或在约0.4mm至约1.6mm的范围内,或在约0.5mm至约1.5mm的范围内,或在约0.6mm至约1.4mm的范围内,或在约0.7mm至约1.3mm的范围内,或在约0.8mm至约1.2mm的范围内,或在约0.9mm至约1.1mm的范围内,或约1mm。
图式中所示的处理腔室100是其中基座组件140可以保持多个基板60的转盘型腔室(carousel-type chamber)。如图2所示,气体分配组件120可以包括多个单独注入器单元122,当晶片在注入器单元122的下方移动时,每个注入器单元能够将膜沉积在晶片上。两个饼形注入器单元122被示出为大致上定位在基座组件140的相对侧上和其上方。此数量的注入器单元122仅出于说明目的而示出。应当理解,可以包括更多或更少的注入器单元122。在一些实施方式中,存在足够数量的饼形注入器单元122以形成符合基座组件140的形状的形状。在一些实施方式中,可独立地移动、移除和/或替换单独饼形注入器单元122中的每个,而不影响任何其它注入器单元122。例如,可使一个区段升高以允许机械手进出基座组件140与气体分配组件120之间的区域来装载/卸载基板60。
具有多个气体注入器的处理腔室可以用于同时处理多个晶片,使得晶片经历相同工艺流程。例如,如图3所示,处理腔室100具有四个气体注入器组件和四个基板60。在处理开始时,基板60可定位在注入器组件30之间。将基座组件140旋转17 45°将导致在气体分配组件120之间的每个基板60将移动到用于膜沉积的气体分配组件120,如在气体分配组件120下方的虚线圆圈所示。附加45°旋转将使基板60移动远离注入器组件30。基板60和气体分配组件120的数量可以是相同或不同的。在一些实施方式中,要处理的晶片的数量与气体分配组件的数量相同。在一个或多个实施方式中,要处理的晶片的数量是气体分配组件的数量的分数或整数倍数。例如,如果存在四个气体分配组件,那么存在4x个要处理的晶片,其中x是大于或等于1的整数值。在一个示例性实施方式中,气体分配组件120包括由气帘分开的八个处理区域,并且基座组件140可以保持六个晶片。
图3中所示的处理腔室100仅是一种代表性的可能配置,并且不应视为对本公开内容的范围的限制。这里,处理腔室100包括多个气体分配组件120。在所示的实施方式中,存在围绕处理腔室100均匀地间隔开的四个气体分配组件(也被称为注入器组件30)。所示的处理腔室100是八边形的;然而,本领域的技术人员应当理解,此为一种可能形状,并且不应视为限制本公开内容的范围。所示的气体分配组件120是梯形的,但是也可以是单个圆形部件或由多个饼形区段组成,如图2所示。
图3中所示的实施方式包括负载锁定腔室180或类似缓冲站的辅助腔室。这个腔室180被连接到处理腔室100一侧以允许例如基板(也被称为基板60)从腔室100装载/卸载。晶片机械手可定位在腔室180中以将基板移动到基座上。
转盘(例如,基座组件140)的旋转可以是连续的或间歇(间断)的。在连续处理中,晶片一直旋转,使得它们依次被暴露于每个注入器。在间断处理中,晶片可移动到注入区域并停下来,然后又移动到在注入器之间的区域84并停下来。例如,转盘可旋转以使得晶片从注入器间区域移动跨过注入器(或停止于与注入器相邻的位置),并移动到下一注入器间区域上,此区域中,转盘可以再次暂停。暂停于注入器之间可以为每一次层沉积之间的另外处理提供时间(例如,暴露于等离子体)。
图4示出了气体分配组件220的可被称为注入器单元122的扇形区或部分。注入器单元122可单独地使用或结合其它注入器单元使用。例如,如图5所示,图4的注入器单元122中的四个被组合以形成单个气体分配组件220。(为了清楚起见,未示出分开四个注入器单元的线。)虽然除了净化气体端口155和真空端口145之外,图4的注入器单元122具有第一反应气体端口125和第二气体端口135两者,但是注入器单元122并非需要所有这些部件。
参考图4和图5两者,根据一个或多个实施方式的气体分配组件220可以包括多个扇形区(或注入器单元122),其中每个扇形区是相同或不同的。气体分配组件220定位在处理腔室内,并且在气体分配组件220的前表面121中包括多个细长气体端口125、135、155和细长真空端口145。多个细长气体端口125、135、155和细长真空端口145从与气体分配组件220的内周边缘123相邻的区域向与气体分配组件220的外周边缘124相邻的区域延伸。所示的多个气体端口包括第一反应气体端口125、第二气体端口135、环绕第一反应气体端口和第二反应气体端口中的每者的真空端口145,以及净化气体端口155。
然而,参考图4或图5中所示的实施方式,当说明端口从至少大致内周边区域延伸到至少大致外周区域时,端口可能不仅仅是从内部区域向外部区域径向延伸。当真空端口145包围反应气体端口125和反应气体端口135时,端口可切向地延伸。在图4和图5中所示的实施方式中,楔形反应气体端口125、135的所有边缘都被真空端口145包围,所述边缘包括与内周边区域和外周边区域相邻的边缘。
参考图4,当基板沿着路径127移动时,基板表面的每个部分被暴露于各种反应气体。为了遵循路径127,基板将暴露于或“看到”净化气体端口155、真空端口145、第一反应气体端口125、真空端口145、净化气体端口155、真空端口145、第二气体端口135和真空端口145。因此,在图4中所示的路径127的端部处,基板已暴露于第一气体端口125和第二气体端口135以形成层。所示注入器单元122形成四分之一圆圈,但是可以是更大或更小的。图5中所示的气体分配组件220可以被认为是串接的图4的注入器单元122中的四个的组合。
图4的注入器单元122示出将反应气体分离的气帘150。术语“气帘”用于描述将反应气体分开以免混合的气流或真空的任何组合。图4中所示的气帘150包括真空端口145在第一反应气体端口125附近的部分、在中间的净化气体端口155和真空端口145在第二气体端口135附近的部分。气流和真空的这种组合可以用于防止或最小化第一反应气体和第二反应气体的气相反应。
参考图5,来自气体分配组件220的气流和真空的组合形成对多个处理区域250的分离。处理区域粗略地限定在单独气体端口125、135周围,且具有气帘150在250之间。图5中所示的实施方式形成八个单独处理区域250,并且具有八个单独气帘150在它们之间。处理腔室可以具有至少两个处理区域。在一些实施方式中,存在至少三个、四个、五个、六个、七个、八个、九个、10个、11个或12个处理区域。
在处理期间,基板可以在任何给定的时间上暴露于多于一个处理区域250。然而,暴露于不同处理区域的部分将具有将两者分离的气帘。例如,如果基板前缘进入包括第二气体端口135的处理区域中,基板的中间部分将在气帘150下方,并且基板后缘将在包括第一反应气体端口125的处理区域中。
工厂界面280可例如是负载锁定腔室,其被示出为连接到处理腔室100。基板60被示出为叠置在气体分配组件220上方以提供参考框架。基板60可以通常放置在基座组件上以保持在气体分配板120的前表面121附近。基板60经由工厂界面280向处理腔室100中装载到基板支撑件或基座组件上(参见图3)。基板60可以被示出为定位在处理区域内,因为基板位于与第一反应气体端口125相邻且在两个气帘150a、150b之间的位置。沿着路径127旋转基板60将使基板围绕处理腔室100逆时针地移动。因此,基板60将暴露于第一处理区域250a至第八处理区域250h,包括在它们之间的所有处理区域。
本公开内容的实施方式涉及包括具有多个处理区域250a-250h的处理腔室100的处理方法,其中每个处理区域由气帘150与相邻区域分离。例如,在图5中示出的处理腔室。取决于气流布置,处理腔室内的气帘和处理区域的数量可为任何合适数量。图5中所示的实施方式具有八个气帘150和八个处理区域250a-250h。气帘的数量大体上等于或大于处理区域的数量。
多个基板60定位在基板支撑件,例如图1和图2中所示的基座组件140上。多个基板60围绕处理区域旋转,以用于处理。一般来说,在包括没有反应气体流入腔室中的时段在内的整个处理中,气帘150是接合的(气体流动和形成真空)。
第一反应气体A流入一个或多个处理区域250中,而惰性气体则流入没有第一反应气体A流入其中的任何处理区域250中。例如,如果第一反应气体通过处理区域250h而流入处理区域250b中,那么惰性气体将流入处理区域250a中。惰性气体可以流过第一反应气体端口125或第二气体端口135。
处理区域内的惰性气体流动可以是恒定或变化的。在一些实施方式中,反应气体与惰性气体是共流的。惰性气体将充当载体和稀释剂。由于反应气体相对于载气的量很小,因此共流可通过减小相邻区域之间的压力差来更容易地使处理区域之间的气体压力进行平衡。
图6是根据本公开内容的一个或多个实施方式的间隙填充工艺300的工艺流程图。在310处,在处理系统的第一腔室中提供通过ALD的具有含Ti、Al和Si表面(例如,TiN)的基板。在一个或多个实施方式中,第一腔室可以是形成TiN的位置。
在非原位实施方式中,在TiN形成之后,基板可以在例如传送到第二(单独)腔室期间暴露于空气320。由于暴露于空气,表面可以在340处暴露于化学处理以除去氧化物。在相同(第二)腔室中,然后可以将表面暴露于间隙填充ALD350,以使用氢作为还原剂来施加W或Mo.
在原位实施方式中,在TiN形成之后,基板不暴露于空气330。这可以在基板保留在第一腔室中时发生。对于该实施方式,表面可以任选地在360处暴露于化学处理。在相同(第一)腔室中,然后可将表面暴露于间隙填充ALD370以使用氢作为还原剂来施加W或Mo。
在一些实施方式中,间隙填充物是连续膜。如本文所用,术语“连续”是指覆盖整个暴露表面而没有显露在沉积层下面的材料的间隙或裸露点的层。连续层可以具有表面积小于膜的总表面积的约1%的间隙或裸露点。
含Ti、Al和Si(例如,TiN)ALD工艺可以是时间的或空间的。典型的晶片温度在50℃至700℃的范围内,在约200℃至约500℃的范围内,或在约250℃至约450℃的范围内。工艺压力可以在0.01托至100托的范围内。共反应物可以包括氧源或氮源。共反应物可以选自由以下项组成的组:O2、O2等离子体、NO、NO等离子体、N2O、N2O等离子体、H2O、H2O等离子体、D2O、O3、NH3、NH3等离子体、N2、N2等离子体、H2、H2等离子体和它们的组合。出于稀释目的,可以存在惰性气体(例如,He、Ar和类似物)。
合适的钛前驱物包括但不限于:TiCl4、TiI4或Ti[NMe2]4;形成TiN的共反应物可以是NH3。合适的硅前驱物包括但不限于聚硅烷(SixHy)和有机硅烷。例如,聚硅烷包括乙硅烷(Si2H6)、丙硅烷(Si3H8)、四硅烷(Si4H10)、异四硅烷(isotetrasilane)、新戊硅烷(Si5H12)、环戊硅烷(Si5H10)、六硅烷(Si6H14)、环己硅烷(Si6H12)或一般为SixHy(其中x=2或更大,并且y=2x或2x+2),以及它们的组合。其它硅前驱物可以是DCS(SiH2Cl2)、HMDS(Cl13Si-SiCl3)、TSA(N(SiH3)3)。合适的铝前驱物包括但不限于AlR3,其中R=是通过C、N、O、H、S或卤化物与Al键合的任何配体。例如,铝前驱物可以包括以下各项中的一种或多种:含烷基铝化合物、烷氧基铝基化合物、铝氨基化合物、卤化铝或它们的组合。在一个实施方式中,铝前驱物是三甲基铝(TMA)(AlMe3)。在一个实施方式中,铝前驱物是三氯化铝(AlCl3)或三溴化铝(AlBr3)。
间隙填充ALD腔室中的化学处理在50℃至700℃的范围内、在约200℃至约500℃的范围内、或在约250℃至约450℃的范围内的晶片温度下发生。工艺压力可以在0.01托至100托的范围内。用于去除氧化物的化学处理包括将基板暴露于以下各项中的一种或多种:SixH2x+2,其中x≥1;SixHyFz,其中x≥2,并且y+z=2x+2;SixHyClz,其中x≥2,并且y+z=2x+2;BxHy,其中x≥2且y≤2x+2;BxHyClz,其中x≥2,并且y+z≤2x+2;BxHyFz,其中x≥2,并且y+z≤2X+2;以及BxHyRz,其中x≥2,y+z≤2x+2,并且R包含具有1至6个碳的烷基。
金属ALD工艺。钨或钼ALD工艺可以是时间或空间的。典型的晶片温度在50℃至700℃的范围内,在约200℃至约500℃的范围内,或在约250℃至约450℃的范围内。工艺压力可以在0.01托至100托的范围内。前驱物可以是WF6、WCl5、WCL6、W(CO)5、MoF6、MoCl6、MOCl5;共反应物是H2。可以将包括Ar、He、N2的惰性气体添加到腔室中。
因此,本公开内容的一个或多个实施方式涉及利用如图5中所示的批量处理腔室的处理方法。将基板60放入处理腔室中,处理腔室具有多个区段250,每个区段与相邻区段由气帘150分开。在处理腔室的第一区段250a中将基板表面的至少一部分暴露于第一工艺条件。一些实施方式的第一工艺条件包括含铝前驱物。
横向地移动基板表面通过气帘150到达处理腔室的第二区段250b。在第二区段250b中将基板表面暴露于第二工艺条件。一些实施方式的第二工艺条件包括反应物以与由AlCxOyNz表示的含铝前驱物形成膜,其中x、y和z独立地在0至1的范围内。
横向地移动基板表面通过气帘150到达处理腔室的第三区段250c。然后,可以在第三区段250c中将基板表面暴露于第三工艺条件。一些实施方式的第三工艺条件包括氟化剂,氟化剂与AlCxOyNz膜反应以形成AlF3
横向地移动基板表面通过气帘150到达处理腔室的第四区段250d。然后,可以在第四区段250d中将基板表面暴露于第四工艺条件。一些实施方式的第四工艺条件包括蚀刻剂,蚀刻剂与AlF3反应以制备用于去除的挥发性物质。
在一些实施方式中,将基板暴露于另外的第一工艺条件和第二工艺条件以形成具有预定膜厚度的膜。在一些实施方式中,将基板暴露于另外的第三工艺条件和第四工艺条件以重复蚀刻基板表面。
任选地,横向地移动基板表面通过气帘150到达处理腔室的第五区段250e。然后,可以在第五区段250e中将基板表面暴露于第五工艺条件。一些实施方式的第五工艺条件包括与Al-F键反应以产生Al-O键的氧化剂。
根据一个或多个实施方式,基板在形成层之前和/或之后经受处理。该处理可以在相同腔室中或在一个或多个单独的处理腔室中执行。在一些实施方式中,基板从第一腔室移动到单独的第二腔室,以进一步进行处理。基板可以直接地从第一腔室移动到单独的处理腔室,或基板可以从第一腔室移动到一个或多个传送腔室,并且然后又移动到单独的处理腔室。因此,处理设备可以包括与传送站连通的多个腔室。这种设备可以被称为”群集工具”或”群集系统”和类似装置。
一般地,群集工具是包括多个腔室的模块化系统,这些腔室执行各种功能,包括基板定中心和取向、脱气、退火、沉积和/或蚀刻。根据一个或多个实施方式,群集工具包括至少一个第一腔室、以及中心传送腔室。中心传送腔室可以容置机械手,机械手可以使基板穿梭于各处理腔室和装载锁定腔室之间。传送腔室典型地保持在真空条件下并提供中间平台以用于使基板穿梭于各个腔室之间和/或穿梭到定位在群集工具的前端的装载锁定腔室。可适用于本公开内容的两个所熟知的群集工具是两者均购自加利福尼亚圣克拉拉市应用材料公司(Applied Materials,Inc.,of Santa Clara,Calif.)。然而,腔室的准确布置和组合可以出于执行如本文所述的工艺的特定步骤的目的而更改。其它可用处理腔室包括但不限于循环层沉积(CLD;Cyclical Layer Deposition)、原子层沉积(ALD;Atomic Layer Deposition)、化学气相沉积(CVD;Chemical Vapor Deposition)、物理气相沉积(PVD;Physical Vapor Deposition)、蚀刻、预清洁、化学清洁、诸如RTP之类的热处理、等离子体氮化、脱气、取向、羟化和其它基板工艺。通过在腔室中在群集工具上实施工艺,可以避免基板因大气杂质而表面污染,而不在沉积后续膜之前氧化。
根据一个或多个实施方式,基板一直处于真空或”装载锁定”状况下,并且当从一个腔室移动到下一个腔室时不暴露于环境空气。传送腔室因此在真空下并在真空压力下被”抽气”。惰性气体可以存在于处理腔室或传送腔室中。在一些实施方式中,惰性气体用作净化气体以将一些或所有的反应物去除。根据一个或多个实施方式,在沉积腔室的出口处注入净化气体以防止反应物从沉积腔室移动到传送腔室和/或附加处理腔室。因此,惰性气流在腔室的出口处形成气帘。
基板可以在单个基板沉积腔室中进行处理,其中单个基板在另一个基板进行处理之前装载、处理和卸载。基板也可以类似于传送机系统以连续方式进行处理,其中多个基板被单独地装载到腔室的第一部分中,移动通过腔室,并且从腔室的第二部分卸载。腔室和相关联的传送机系统的形状可以形成直线路径或弯曲路径。另外,处理腔室也可以是转盘(carousel),其中多个基板围绕中心轴线移动并在整个转盘路径中都暴露于沉积、蚀刻、退火、清洁等工艺。
在处理期间,可以加热或冷却基板。该加热或冷却可以通过任何合适的手段来完成,所述手段包括但不限于改变基板支撑件的温度和使加热气体或冷却气体流动到基板表面。在一些实施方式中,基板支撑件包括加热器/冷却器,加热器/冷却器可以被控制来传导地改变基板温度。在一个或多个实施方式中,所采用的气体(反应气体或惰性气体)被加热或冷却以局部地改变基板温度。在一些实施方式中,加热器/冷却器邻近基板表面而定位在腔室内以对流地改变基板温度。
基板也可以在处理期间静止或旋转。旋转基板可连续地或以分立步骤旋转。例如,基板可以在整个工艺中一直旋转,或基板可以在暴露于不同的反应气体或净化气体的操作之间小幅度地旋转。在处理期间旋转基板(连续地或逐步地)可以有助于通过使例如气流几何形状的局部变化的效应最小化来产生更均匀的沉积或蚀刻。
在原子层沉积腔室中,基板在空间上或在时间上分开的工艺中可暴露于第一前驱物和第二前驱物。时间ALD是其中第一前驱物流入腔室以与表面反应的传统工艺。第一前驱物在流动第二前驱物前从腔室中净化。在空间ALD中,第一前驱物和第二前驱物同时流到腔室,但是在空间上分开,使得在流之间存在防止前驱物混合的区域。在空间ALD中,基板相对于气体分配板而移动,反之亦然。
在实施方式中,在方法的部分的一个或多个发生在一个腔室中时,工艺可为空间ALD工艺。虽然上述化学物质中的一种或多种可能无法相容(即,在基板表面上之外造成反应和/或沉积在腔室上),空间分开确保试剂不暴露于气相的每个化学物质。例如,时间ALD涉及净化沉积腔室。然而,在实践中,有时无法在流入额外试剂前将多余试剂从腔室净化出去。因此,腔室中的任何残留试剂都可会发生反应。通过空间分离,无需净化多余试剂,并且限制交叉污染。此外,可花费大量时间来净化腔室,并且因此可通过消除净化步骤来增加产量。
在本说明书全文中提到“一个实施方式”、“某些实施方式”、“一个或多个实施方式”或“实施方式”表示结合实施方式描述的特定特征、结构、材料或特性包括在本公开内容的至少一个实施方式中。因此,本说明书全文各处出现短语诸如“在一个或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在实施方式中”不一定指本公开内容的同一实施方式。此外,特定特征、结构、材料或特性可以任何合适的方式结合在一个或多个实施方式中。
虽然,本公开内容在本文中已参照特定实施方式来描述,但应理解,这些实施方式仅是对本公开内容的原理和应用的说明。本领域的技术人员将清楚,在不脱离本公开内容的精神和范围的情况下,可以对本公开内容的方法和设备进行各种修改和变型。因此,本公开内容将意图包括在所附权利要求书和它们的等效形式的范围内的修改和变型。

Claims (15)

1.一种处理方法,包括:
通过以下步骤来形成包含钨或钼的间隙填充层:将具有至少一个特征在其上的基板表面依次地暴露于金属前驱物和包含氢的还原剂以在所述特征中形成所述间隙填充层,其中在所述基板表面与所述间隙填充层之间不存在成核层。
2.如权利要求1所述的处理方法,其中所述金属前驱物是WF6、WClx、W(CO)5、MoF6、MoClx中的一种或多种,其中x是5或6,并且所述还原剂是H2
3.如权利要求1所述的处理方法,其中在形成所述间隙填充层之前的所述基板表面尚未暴露于空气。
4.如权利要求1所述的处理方法,其中在形成所述间隙填充层之前的所述基板表面已经暴露于化学处理以去除氧化物,并且所述化学处理包括将所述基板表面暴露于以下各项中的一种或多种:
SixH2x+2,其中x≥1;
SixHyFz,其中x≥2,并且y+z=2x+2;
SixHyClz,其中x≥2,并且y+z=2x+2;
BxHy,其中x≥2,并且y≤2x+2;
BxHyClz,其中x≥2,并且y+z≤2x+2;
BxHyFz,其中x≥2,并且y+z≤2x+2;和
BxHyRz,其中x≥2,y+z≤2x+2,并且R包含具有1至6个碳的烷基。
5.如权利要求4所述的处理方法,其中所述化学处理排除所述金属前驱物。
6.一种处理方法,包括:
将基板表面定位在处理腔室中,所述基板表面上具有至少一个特征;
将所述基板表面依次地暴露于第一金属前驱物和反应物以形成底层,其中所述第一金属前驱物包括钛前驱物、铝前驱物和硅前驱物中的一种或多种,并且所述反应物包括氮前驱物、氧前驱物或它们的组合;
将所述底层依次地暴露于包含钨前驱物或钼前驱物的第二金属前驱物和包含氢(H2)的还原剂以在所述底层上形成间隙填充层。
7.如权利要求6所述的处理方法,其中所述底层包含TiN、TiN、TiON、TiSiN、TiSiON、AlN、TiAlN或TiAlON。
8.如权利要求6所述的处理方法,其中所述第二金属前驱物是WF6、WClx、W(CO)5、MoF6、MoClx中的一种或多种,其中x是5或6。
9.如权利要求6所述的处理方法,其中将所述底层依次地暴露于所述第二金属前驱物和所述氢在所述基板表面没有暴露于空气的情况下发生。
10.如权利要求6所述的处理方法,进一步包括在将所述底层依次地暴露于所述第二金属前驱物和所述氢之前,将所述基板表面暴露于空气,并且向所述底层施加化学处理以去除表面氧化,其中所述化学处理包括将所述基板表面暴露于以下各项中的一种或多种:
SixH2x+2,其中x≥1;
SixHyFz,其中x≥2,并且y+z=2x+2;
SixHyClz,其中x≥2,并且y+z=2x+2;
BxHy,其中x≥2,并且y≤2x+2;
BxHyClz,其中x≥2,并且y+z≤2x+2;
BxHyFz,其中x≥2,并且y+z≤2x+2;和
BxHyRz,其中x≥2,y+z≤2x+2,并且R包含具有1至6个碳的烷基。
11.如权利要求6所述的处理方法,其中在所述底层与所述间隙填充层之间不存在成核层。
12.一种处理方法,包括:
将具有基板表面的基板放入包括多个区段的处理腔室中,每个区段与相邻区段由气帘分开,所述基板表面具有至少一个特征,所述至少一个特征具有顶部、底部和侧面以及大于或等于10:1的深宽比;
在所述处理腔室的第一区段中将所述基板表面的至少一部分暴露于第一工艺条件,所述第一工艺条件包括钛、铝、硅或它们的组合的前驱物;
横向地移动所述基板表面通过气帘到达所述处理腔室的第二区段;
在所述处理腔室的所述第二区段中将所述基板表面暴露于所述第二工艺条件,所述第二工艺条件包括反应物以与所述钛、铝、硅或它们的组合的前驱物形成膜,所述膜包含TiN、TiN、TiON、TiSiN、TiSiON、AlN、TiAlN或TiAlON;
任选地,重复暴露于所述第一区段和所述第二区段,包括横向地移动所述基板表面以形成底层;
横向地移动所述基板表面通过气帘到达所述处理腔室的第三区段;
在所述处理腔室的所述第三区段中将所述基板表面暴露于第三工艺条件,所述第三工艺条件包括钨前驱物或钼前驱物;
横向地移动所述基板表面通过气帘到达所述处理腔室的第四区段;
在所述处理腔室的所述第四区段中将所述基板表面暴露于第四工艺条件,所述第四工艺条件包括氢作为还原剂以与所述钨前驱物或所述钼前驱物形成膜;和
任选地,重复暴露于所述第三区段和所述第四区段,包括横向地移动所述基板表面以填充所述特征。
13.如权利要求12所述的处理方法,其中所述还原剂基本上由氢组成。
14.如权利要求12所述的处理方法,其中所述第一工艺条件包括钛前驱物,所述钛前驱物是TiCl4、TiI4或Ti[NMe2]4,并且所述第二工艺条件包括NH3以形成包含TiN的所述底层。
15.如权利要求12所述的方法,其中在将所述基板表面暴露于所述第二工艺条件之前,在所述处理腔室的化学处理区段中将所述基板暴露于化学处理工艺条件,所述化学处理工艺条件包括以下各项中的一种或多种:
SixH2x+2,其中x≥1;
SixHyFz,其中x≥2,并且y+z=2x+2;
SixHyClz,其中x≥2,并且y+z=2x+2;
BxHy,其中x≥2,并且y≤2x+2;
BxHyClz,其中x≥2,并且y+z≤2x+2;
BxHyFz,其中x≥2,并且y+z≤2x+2;和
BxHyRz,其中x≥2,y+z≤2x+2,并且R包含具有1至6个碳的烷基。
CN201780077670.5A 2016-12-15 2017-11-29 无成核的间隙填充ald工艺 Active CN110088875B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662434788P 2016-12-15 2016-12-15
US62/434,788 2016-12-15
PCT/US2017/063611 WO2018111547A1 (en) 2016-12-15 2017-11-29 Nucleation-free gap fill ald process

Publications (2)

Publication Number Publication Date
CN110088875A true CN110088875A (zh) 2019-08-02
CN110088875B CN110088875B (zh) 2023-09-01

Family

ID=62559088

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780077670.5A Active CN110088875B (zh) 2016-12-15 2017-11-29 无成核的间隙填充ald工艺

Country Status (5)

Country Link
US (2) US11289374B2 (zh)
JP (1) JP6913752B2 (zh)
KR (2) KR102234559B1 (zh)
CN (1) CN110088875B (zh)
WO (1) WO2018111547A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11021793B2 (en) * 2018-05-31 2021-06-01 L'Air Liquide, Société Anonyme pour I'Etude et I'Exploitation des Procédés Georges Claude Group 6 transition metal-containing compositions for vapor deposition of group 6 transition metal-containing films
JP7296790B2 (ja) * 2018-09-20 2023-06-23 東京エレクトロン株式会社 成膜方法及び基板処理システム
JP2021167466A (ja) * 2020-03-30 2021-10-21 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated バリア層のないインシトゥタングステン堆積
CN115777140A (zh) * 2021-01-05 2023-03-10 朗姆研究公司 特征中的钼沉积
US12014956B2 (en) * 2021-09-28 2024-06-18 Applied Materials, Inc. Tungsten gapfill using molybdenum co-flow
WO2023150066A1 (en) * 2022-02-03 2023-08-10 Entegris, Inc. Process for selectively depositing highly-conductive metal films
US20230290679A1 (en) * 2022-03-09 2023-09-14 Applied Materials, Inc. Tungsten molybdenum structures

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0284437A2 (en) * 1987-03-26 1988-09-28 Canon Kabushiki Kaisha III - V Group compound crystal article and process for producing the same
JPH05136054A (ja) * 1991-11-15 1993-06-01 Canon Inc 結晶薄膜の形成方法
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
US20070232044A1 (en) * 2006-03-29 2007-10-04 Shaestagir Chowdhury Filling narrow and high aspect ratio openings with electroless deposition
US20080213994A1 (en) * 2007-03-01 2008-09-04 Ramanan Chebiam Treating a liner layer to reduce surface oxides
US20090032949A1 (en) * 2007-08-02 2009-02-05 Micron Technology, Inc. Method of depositing Tungsten using plasma-treated tungsten nitride
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US7799684B1 (en) * 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20110233778A1 (en) * 2010-03-24 2011-09-29 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
CN102569165A (zh) * 2010-12-09 2012-07-11 诺发系统有限公司 高纵横比沟槽中的颠倒填充
US20140030889A1 (en) * 2012-07-27 2014-01-30 Feng Chen Methods of improving tungsten contact resistance in small critical dimension features
JP2014019912A (ja) * 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US20140283901A1 (en) * 2011-07-29 2014-09-25 Gasp Solar Aps Nanostructure, nanostructure fabrication method, and photovoltaic cell incorporating a nanostructure
US20150279735A1 (en) * 2014-03-25 2015-10-01 Tokyo Electron Limited Tungsten Film Forming Method, Semiconductor Device Manufacturing Method, and Storage Medium
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US20160056033A1 (en) * 2014-08-19 2016-02-25 The Regents Of The University Of California Low temperature atomic layer deposition of oxides on compound semiconductors

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3694433B2 (ja) 1999-07-30 2005-09-14 沖電気工業株式会社 半導体装置の製造方法
EP1219725B1 (en) 2000-12-28 2005-08-17 AMI Semiconductor Belgium BVBA Method for tungsten chemical vapor deposition on a semiconductor substrate
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR20120033640A (ko) 2010-09-30 2012-04-09 주식회사 하이닉스반도체 텅스텐 갭필을 이용한 반도체장치 제조 방법
KR101333914B1 (ko) * 2011-02-22 2013-11-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 진보된 실리콘 프로세스로 감소된 소프트 에러 레이트(ser)를 갖는 반도체 디바이스를 제조하는 방법 및 그러한 반도체 디바이스
US9653352B2 (en) 2014-04-11 2017-05-16 Applied Materials, Inc. Methods for forming metal organic tungsten for middle of the line (MOL) applications
US20150348840A1 (en) 2014-05-31 2015-12-03 Lam Research Corporation Methods of filling high aspect ratio features with fluorine free tungsten
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US10096464B2 (en) 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US20160307748A1 (en) 2015-04-20 2016-10-20 Applied Materials, Inc. Deposition Of Si-H Free Silicon Nitride
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0284437A2 (en) * 1987-03-26 1988-09-28 Canon Kabushiki Kaisha III - V Group compound crystal article and process for producing the same
JPH05136054A (ja) * 1991-11-15 1993-06-01 Canon Inc 結晶薄膜の形成方法
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
US20070232044A1 (en) * 2006-03-29 2007-10-04 Shaestagir Chowdhury Filling narrow and high aspect ratio openings with electroless deposition
US20080213994A1 (en) * 2007-03-01 2008-09-04 Ramanan Chebiam Treating a liner layer to reduce surface oxides
US7799684B1 (en) * 2007-03-05 2010-09-21 Novellus Systems, Inc. Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090032949A1 (en) * 2007-08-02 2009-02-05 Micron Technology, Inc. Method of depositing Tungsten using plasma-treated tungsten nitride
US20100144140A1 (en) * 2008-12-10 2010-06-10 Novellus Systems, Inc. Methods for depositing tungsten films having low resistivity for gapfill applications
US20110233778A1 (en) * 2010-03-24 2011-09-29 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
CN102569165A (zh) * 2010-12-09 2012-07-11 诺发系统有限公司 高纵横比沟槽中的颠倒填充
US20140283901A1 (en) * 2011-07-29 2014-09-25 Gasp Solar Aps Nanostructure, nanostructure fabrication method, and photovoltaic cell incorporating a nanostructure
JP2014019912A (ja) * 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US20140030889A1 (en) * 2012-07-27 2014-01-30 Feng Chen Methods of improving tungsten contact resistance in small critical dimension features
US20150279735A1 (en) * 2014-03-25 2015-10-01 Tokyo Electron Limited Tungsten Film Forming Method, Semiconductor Device Manufacturing Method, and Storage Medium
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US20160056033A1 (en) * 2014-08-19 2016-02-25 The Regents Of The University Of California Low temperature atomic layer deposition of oxides on compound semiconductors

Also Published As

Publication number Publication date
JP2020506533A (ja) 2020-02-27
US20220172989A1 (en) 2022-06-02
KR102361468B1 (ko) 2022-02-09
CN110088875B (zh) 2023-09-01
WO2018111547A1 (en) 2018-06-21
US11289374B2 (en) 2022-03-29
KR102234559B1 (ko) 2021-03-31
JP6913752B2 (ja) 2021-08-04
KR20190086054A (ko) 2019-07-19
KR20210035353A (ko) 2021-03-31
US20190371662A1 (en) 2019-12-05

Similar Documents

Publication Publication Date Title
CN110088875A (zh) 无成核的间隙填充ald工艺
US20180312966A1 (en) Methods For Spatial Metal Atomic Layer Deposition
CN108140578B (zh) 通过表面毒化处理的由下而上的间隙填充
CN109417048A (zh) 用于间隙填充应用的可流动非晶硅膜
TWI753523B (zh) 高溫熱原子層沉積氮化矽膜
TW201800598A (zh) 用於間隔墊應用之氮化矽薄膜的選擇性沉積
TWI733850B (zh) 使用沉積/蝕刻技術之無接縫溝道填充
US10147599B2 (en) Methods for depositing low K and low wet etch rate dielectric thin films
TWI808049B (zh) 處理基板的方法
CN110226214A (zh) 用于介电膜的选择性沉积的方法及设备
TWI643971B (zh) 使用空間原子層沉積或脈衝化學氣相沉積之薄膜沉積
CN108140562A (zh) 共形且间隙填充非晶硅薄膜的沉积
US12018363B2 (en) Gap-fill with aluminum-containing films
CN108369896A (zh) 利用空间原子层沉积的原位膜退火
TW201615879A (zh) 高溫二氧化矽原子層沉積技術

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant