TW201936975A - 利用化學抑制的膜層保形性調變 - Google Patents

利用化學抑制的膜層保形性調變 Download PDF

Info

Publication number
TW201936975A
TW201936975A TW107147291A TW107147291A TW201936975A TW 201936975 A TW201936975 A TW 201936975A TW 107147291 A TW107147291 A TW 107147291A TW 107147291 A TW107147291 A TW 107147291A TW 201936975 A TW201936975 A TW 201936975A
Authority
TW
Taiwan
Prior art keywords
precursor gas
ald
chamber
gas
atomic layer
Prior art date
Application number
TW107147291A
Other languages
English (en)
Other versions
TWI800587B (zh
Inventor
大衛 C 史密斯
丹尼斯 M 豪斯曼恩
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201936975A publication Critical patent/TW201936975A/zh
Application granted granted Critical
Publication of TWI800587B publication Critical patent/TWI800587B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60PVEHICLES ADAPTED FOR LOAD TRANSPORTATION OR TO TRANSPORT, TO CARRY, OR TO COMPRISE SPECIAL LOADS OR OBJECTS
    • B60P3/00Vehicles adapted to transport, to carry or to comprise special loads or objects
    • B60P3/32Vehicles adapted to transport, to carry or to comprise special loads or objects comprising living accommodation for people, e.g. caravans, camping, or like vehicles
    • B60P3/34Vehicles adapted to transport, to carry or to comprise special loads or objects comprising living accommodation for people, e.g. caravans, camping, or like vehicles the living accommodation being expansible, collapsible or capable of rearrangement
    • B60P3/341Vehicles adapted to transport, to carry or to comprise special loads or objects comprising living accommodation for people, e.g. caravans, camping, or like vehicles the living accommodation being expansible, collapsible or capable of rearrangement comprising flexible elements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60JWINDOWS, WINDSCREENS, NON-FIXED ROOFS, DOORS, OR SIMILAR DEVICES FOR VEHICLES; REMOVABLE EXTERNAL PROTECTIVE COVERINGS SPECIALLY ADAPTED FOR VEHICLES
    • B60J7/00Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs
    • B60J7/08Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position
    • B60J7/16Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel
    • B60J7/1607Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering load areas, e.g. rigid panels for pick-up truck beds
    • B60J7/1621Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering load areas, e.g. rigid panels for pick-up truck beds hinged on one side
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60JWINDOWS, WINDSCREENS, NON-FIXED ROOFS, DOORS, OR SIMILAR DEVICES FOR VEHICLES; REMOVABLE EXTERNAL PROTECTIVE COVERINGS SPECIALLY ADAPTED FOR VEHICLES
    • B60J7/00Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs
    • B60J7/08Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position
    • B60J7/16Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel
    • B60J7/1628Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering the passenger compartment
    • B60J7/1635Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering the passenger compartment of non-convertible vehicles
    • B60J7/1657Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering the passenger compartment of non-convertible vehicles at least a major part of the roof pivoting about a stationary axis
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60YINDEXING SCHEME RELATING TO ASPECTS CROSS-CUTTING VEHICLE TECHNOLOGY
    • B60Y2200/00Type of vehicle
    • B60Y2200/10Road Vehicles
    • B60Y2200/14Trucks; Load vehicles, Busses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Transportation (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供在原子層沉積(ALD)中的金屬氧化物膜層之保形性調變方法及系統。有些範例方法使用化學抑制。用以執行此等方法的範例包含一腔室;前驅物氣體之來源;抑制前驅物氣體之來源;一或更多注射器,其具有各別的氣流路徑、各自具有可連接至該前驅物氣體或該抑制前驅物氣體之該來源的入口、且係用以單獨地或與另一注射器一起使前驅物氣體在複數區域的第一區域中以第一氣體流率輸送至該腔室中俾以第一沉積速率形成第一膜層、且係用以在該複數區域的相同或第二區域中以第二氣體流率輸送抑制前驅物氣體俾抑制該第一膜層之成長。

Description

利用化學抑制的膜層保形性調變
[相關申請案的交互參照]本申請案主張2017年12月28日提交的案名為「CONFORMALITY MODULATION OF METAL OXIDE FILMS USING CHEMICAL INHIBITION」的美國臨時專利申請案第62/611,541號、及2018年4月25日提交的案名為「CONFORMALITY MODULATION OF METAL OXIDE FILMS USING CHEMICAL INHIBITION」的美國專利申請案第15/962,953號的優先權,在此藉參照方式將上述兩者之全文引入。
本發明大體上係關於半導體裝置製造中的選擇性原子層沉積,更具體而言,係關於利用化學抑制的金屬氧化物膜層之保形性調變。在一範例中,利用選擇性抑制以提供經改善之膜層輪廓控制。
習知上,原子層沉積(ALD)為基於氣相化學處理之循序使用的薄膜沉積技術。ALD被視為化學氣相沉積的子類。大多數的ALD反應使用兩種化學品,通常稱為前驅物。該等前驅物以循序、自限制的方式一次一個地與材料表面進行反應。透過重複暴露於個別前驅物,而沉積薄膜。
ALD為半導體裝置及晶圓製造中的關鍵處理,且為可用於合成奈米材料之成套工具的一部分。金屬氧化物沉積中的輪廓控制亦可利用週期性回蝕(etch back)步驟而達成,但此引入額外的硬體及成本。
本揭示內容試圖解決至少該等缺點。應注意,此章節中所述之資訊係供以對熟習本技藝者提供以下所揭示標的之一些背景,而不應被視為所承認之先前技術。
在一範例實施例中,ALD設備包含一腔室;前驅物氣體之來源;抑制前驅物氣體之來源;一或更多注射器,其具有各別的氣流路徑、各自具有可連接至該前驅物氣體或該抑制前驅物氣體之該來源的入口、且係用以單獨地或與另一注射器一起使前驅物氣體在複數區域的第一區域中以第一氣體流率輸送至該腔室中俾以第一沉積速率形成第一膜層、且係用以在該複數區域的相同或第二區域中以第二氣體流率輸送抑制前驅物氣體俾抑制該第一膜層之成長。
在一些範例中,該一或更多注射器係進一步用以:在使該前驅物氣體進入該腔室之前,將該抑制前驅物氣體輸送至該腔室中。在一些範例中,該一或更多注射器係進一步用以:在使該抑制前驅物氣體進入該腔室之前,將該前驅物氣體輸送至該腔室中。在一些範例中,該一或更多注射器係進一步用以:在使該前驅物氣體進入該腔室的同時,將該抑制前驅物氣體輸送至該腔室中。在一些範例中,該一或更多注射器係進一步用以:在該複數區域之其中一者中以第三氣體流率輸送第二前驅物氣體,俾以第二沉積速率形成第二膜層。在一些範例中,該一或更多注射器係進一步用以:在使該抑制前驅物氣體進入該腔室的同時,輸送該第二前驅物氣體。該抑制前驅物氣體可包含螫合劑、二酮、硫醇、醇、以及膦之其中一或多者。在一些範例中,該一或更多注射器係進一步用於:以小於在平面上達成該前驅物氣體之飽和所需之最小暴露之1%的暴露位準輸送低度暴露的抑制前驅物氣體。
以下的敘述包含實施本發明之說明性實施例的系統、方法、技術、指令序列、及計算機程式產品。在以下的敘述中,為了說明之目的,描述了大量的特定細節,以提供對範例實施例的徹底理解。然而,以下對於熟習本技藝者係清楚明白的:可在無該等特定細節之情況下實行本發明。
本專利文件之揭示內容之部分含有受到版權保護的材料。版權所有者不反對任何人對專利文件或專利揭示內容進行傳真重製,因為其出現於專利及商標局之專利檔案或紀錄中,但除此之外保留所有的版權。以下聲明適用於下文所描述及構成本文件之一部分之圖式中的任何資料:Copyright Lam Research Corporation, 2017-2018, All Rights Reserved。
對於各種應用,原子層沉積(ALD)已成為沉積薄膜的重要技術。半導體處理為ALD近期發展的主要動機之一。國際半導體技術發展藍圖(ITRS)已將ALD用於MOSFET結構中的高介電常數閘極氧化物、及後段互連線中的銅擴散障壁。此外,ALD在其他領域中遇到具挑戰性的需求,包括沉積高品質的介電質以製造用於DRAM的渠溝電容器。半導體工業中之微型化導致需對薄膜沉積進行原子級控制。微型化產生非常高深寬比之結構,其需要被保形地塗佈。沒有其他薄膜技術可趨近由ALD在高深寬比結構上所達成之保形性。半導體裝置中連續且無針孔之膜層的必要性推動了ALD之發展。在半導體工業之外具有相似高要求的其他應用為:用於磁性讀/寫頭的低電子洩漏介電質、以及具有低透氣性的擴散障壁塗層。
如上所述,習知ALD試圖利用循序的、自限性的表面反應以滿足原子層控制及保形沉積需求。大部分的ALD處理係基於二元反應序列,其中兩個表面反應發生,並且沉積二元化合物膜層。
現今的金屬氧化物之ALD大體上引致保形(前驅物兩者之高度暴露)或亞保形(前驅物兩者之低度暴露)的膜層。範例保形結構100A及100B之剖面圖係顯示於圖1A-1B。在各視圖中,藉由ALD而產生的上覆層102(圖1A)、及104-106(圖1B)係與各自的下方結構108及110之形狀「一致」。在圖2中提供保形結構的進一步視圖。在左圖中,顯示測試結構200。在使用二氧化矽(SiO2 )的ALD之後,在結構200上形成保形層202,如右圖所示。
另一方面,「亞保形」膜層在特徵部頂部附近比在底部處更厚。亞保形膜層300之範例係顯示於圖3中。可在下方結構304中於302處見到高深寬比渠溝。膜層300的上部305之截面比膜層300的下部306更厚,下部306在渠溝302中係較深的。
對於某些半導體應用,「超保形」膜層係所期望的。超保形膜層在特徵部底部處比在頂部處更厚。超保形膜層400之範例係顯示於圖4。在下方結構404中於402處可再次見到高深寬比渠溝。膜層400的上部405之截面比膜層400的下部406更薄,下部406在渠溝402中係較深的。亞保形及超保形結構的奈米尺寸係由在各視圖右下角可見的標度308及408所提供。
習知上,特徵部中之超保形可藉由受控的回蝕(etch-back)步驟而達成、或利用電漿步驟而達成,以上兩者皆增加了處理的複雜性及成本,其中該回蝕步驟係在與用於沉積處理之模組不同的模組中執行。
在本揭示內容中,提供替代的輪廓控制方法。一種範例方法在一態樣中包含選擇性地抑制特徵部頂部。此可藉由以下方式而達成:使用抑制前驅物氣體(此處亦稱為抑制劑)之低度暴露,俾使前驅物僅吸附於特徵部頂部。抑制前驅物氣體可在與沉積前驅物相同的腔室中加以輸送,且需要很少的(或不需要)額外硬體或工具修改。用於金屬氧化物沉積之合適的抑制前驅物可包含螫合劑、二酮(例如乙醯丙酮(HAcAc))、硫醇(例如丁烷硫醇)、醇(例如乙醇)、以及膦。其他抑制前驅物係可能的。
抑制前驅物之暴露可表示為分壓與時間的乘積。諸如三甲鋁之反應性前驅物會需要大約10^-6托-秒之暴露以在200° C下使羥基化表面飽和。因此,一範例包含在1 mtorr之分壓下的1毫秒給劑、或在0.1 mtorr之分壓下的10毫秒給劑。在胺終端基表面上之具有低反應性的前驅物(例如二氯矽烷)通常會需要在400° C下的1托-秒之暴露,以使此表面飽和。
在一些範例中,可將抑制前驅物氣體之低度暴露界定為:小於在平面上達成前驅物氣體飽和所需之最小暴露之1%的暴露位準。以下圖表包含此方面的近似低度暴露值。
可利用選擇性抑制以提供經改善之膜層輪廓控制。例如,利用螫合劑(例如HAcAc)的親和性以黏附於金屬氧化物表面,並抑制金屬氧化物表面上之沉積,藉由ALD而進行之金屬氧化物膜層沉積可透過以此等方式(例如,利用抑制劑之低度暴露)使基板暴露於螫合劑而加以抑制,俾限制與場域及渠溝頂部之黏附。
本揭示方法可例如用於遠程電漿系統或電容耦合式電漿系統中。遠程電漿系統(亦稱為下游電漿系統或餘輝電漿系統)為電漿與材料(例如半導體晶圓)交互作用發生於遠離電漿餘輝中之電漿之位置處的一種電漿系統。
範例遠程電漿系統700之示意圖係顯示於圖7。該系統包含主處理腔室702及遠程電漿源704。系統700內亦包含氣體源714及真空泵浦716。電漿706通過遠程傳送區域708及氣體擋板710。腔室內之材料交互作用發生於電漿餘輝中的位置712處,其係遠離電漿源704或在電漿源704的下游。
遠程電漿系統之另一範例係顯示於圖5中。在此,用以執行利用抑制前驅物的ALD之ALD反應器被稱為同步調變流動與抽出(SMFD)。在圖5中以各別的給劑與排淨模式顯示此等SMFD反應器500之示意圖。SMFD反應器500在排淨模式期間於反應器入口502處注入惰性流動氣體,且反應物在給劑模式中於入口502處進入反應器。惰性氣體在給劑模式期間經由反應器出口504而離開反應器500。可在任一階段及暴露步驟期間將抑制前驅物注入反應器,且該暴露步驟係藉由調整交換氣體的體積與速度而加以控制。反應器入口與反應器出口之間的惰性或抑制流動氣體之同步調變能達成高速的氣流切換。
本揭示內容之方法亦可用於一些其他的反應器配置中。例如,用於半導體處理的單晶圓ALD反應器可具有不同的氣流配置。「橫流(cross-flow)」反應器具有在整個晶圓表面上的平行氣流。「噴淋頭」反應器透過分配板將氣體以垂直於晶圓表面的方式導入反應器。氣體接著在整個晶圓表面上徑向地流動。ALD反應器之間的其他差別可包含熱壁與冷壁反應器。在「熱壁」反應器中,反應器中的壁部、氣體、及基板皆被加熱至壁部的溫度。在「冷壁」反應器中,僅將基板加熱,而壁部維持於室溫或僅被略微加熱。
其他ALD反應器可同時沉積於許多樣品上。該等反應器亦稱為「批式」反應器。其可同時塗佈複數樣品,且可大幅縮短塗佈一樣品的所需時間。批式反應器可改善商用ALD處理的成本與時間效益。反應物及排淨時間常數在批式反應器中係較長的,因為反應器體積較大、且複數樣品之間的氣導(gas conductance)較低。然而,多工之優點可補償較長之時間常數。
感應耦合式電漿(ICP)為電漿ALD期間的常用電漿源。電漿通常操作於∼100-500 mTorr的壓力下。電漿輔助ALD在電漿反應循環期間沒有利用惰性載氣而加以執行。然而,可利用惰性載氣或本揭示內容之抑制前驅物以使電漿反應循環與習知反應物ALD循環交替進行。
用以執行某些揭示實施例的範例ICP電漿反應器600之示意圖係顯示於圖6中。反應器600包含如圖中所顯示及標示的組件部分。該等組件包含例如氣體源601、金屬前驅物及抑制劑之來源602、第一漏洩閥603、反應器腔室604、石英管605、入口控制閥606、RF線圈607、第二漏洩閥608、渦輪泵浦609、及四極柱式質譜(QMS)模組610。可依據本文所述方法之任一者而選擇性地使抑制前驅物602經由入口控制閥606進入反應器腔室604。
亦可在電容耦合式電漿(CCP)系統中執行本揭示內容之方法。典型的CCP系統係藉由單一射頻(RF)電源(通常在約13.56 MHz)而加以驅動。兩電極之其中一者係連接至電源,而另一者係接地的。由於此配置在原理上係相似於電路中的電容器,因此在此配置中所形成的電漿被稱為電容耦合式電漿。用以執行本方法的範例CCP系統可包含單站模組或多站模組(亦稱為四站(quad stations))。
當電場在電極之間產生時,原子被電離並釋出電子。氣體中的電子經由RF場而加速並可直接或間接透過碰撞而將氣體電離,以產生二次電子。當電場夠強時,其可引致所謂的電子突崩。在突崩潰之後,氣體因充足的自由電子而變得具導電性。其通常伴隨著來自氣體中之受激原子或分子的光放射。
用以執行某些揭示實施例之範例CCP製程反應器的示意圖係圖示於圖8中。該圖描繪原子層沉積(ALD)處理站800之實施例的示意圖,該ALD處理站800具有用於維持低壓環境的處理腔室本體802。在共同的低壓處理工具環境中可包含複數ALD處理站800。在一些實施例中,ALD處理站800之一或更多硬體參數(包含以下所詳細討論者)可係藉由一或更多電腦控制器850(亦係在下述中進一步討論)而以編程方式調整。
ALD處理站800與輸送系統801a流體連通,以將處理氣體輸送至分佈噴淋頭806。反應物輸送系統801a包含用以混合及/或調節處理氣體(例如金屬胺化物、金屬烷氧化物、或矽胺化物氣體、或如上所界定之抑制性前驅物氣體)的混合容器804,以用於輸送至噴淋頭806。一或更多混合容器入口閥820可控制處理氣體導入至氣體混合容器804。
舉例而言,圖8之實施例包含用以汽化液體反應物的汽化點803,該反應物係欲供應至混合容器804。在一些實施例中,汽化點803可為經加熱之汽化器。自此汽化器產生的飽和反應物蒸氣可能於下游輸送管線中凝結。在一些實施例中,汽化點803下游之輸送管線可為伴熱的(heat traced)。在一些範例中,混合容器804亦可為伴熱的。在一非限制性範例中,汽化點803下游之管線具有遞增之溫度輪廓,其由約100°C延伸至混合容器804處的約150°C。
在一些實施例中,可於液體注入器處將液體前驅物、或液體抑制前驅物、或液體反應物汽化。例如,液體注入器可將液體反應物之脈衝注入混合容器上游的載體氣體流。在一實施例中,液體注入器可藉由自高壓至低壓急速汽化液體而使反應物汽化。在另一範例中,液體注入器可將液體霧化為分散的微滴,隨後該等微滴在經加熱之輸送管線中汽化。較小的液滴相比於較大的液滴而可較快地汽化,其使得液體注入與完全汽化之間的延遲減少。較快之汽化可使汽化點803下游之管線長度減小。在一情形中,可將液體注入器直接裝設於混合容器804。在另一情形中,可將液體注入器直接裝設於噴淋頭806。
噴淋頭806將處理氣體分佈至基板812。在圖8所示的實施例中,基板812係位在噴淋頭806下方、且係顯示坐落於底座808上。噴淋頭806可具有任何合適外形、且可具有任何合適數目及通口之配置,用以將處理氣體分佈至基板812。在一些實施例中,可將底座808升高或降低以使基板(或晶圓)812暴露於基板812與噴淋頭806之間的容積。
應理解,在一些實施例中,可藉由合適的電腦控制器850而以編程方式調整底座高度。在另一情形中,在電漿點燃之實施例的處理中,調整底座808之高度可容許在電漿活化期間改變電漿密度。在處理階段結束後,可於另一基板傳送階段期間將底座808降低,以容許基板812自底座808移除。在一些實施例中,底座808可經由加熱器810而加以溫度控制。在一些實施例中,如揭示實施例所述,於膜層之選擇性沉積期間,可將底座808加熱至介於約25° C至約400° C之間、或介於約200° C至約300° C之間的溫度。在一些實施例中,將底座設定在介於約25° C至約400° C之間、或介於約200° C至約300° C之間的溫度。
再者,在一些實施例中,可經由蝶形閥818提供對於處理站800的壓力控制。如圖8之實施例所示,蝶形閥818調節由下游真空泵浦(未顯示)所提供之真空。然而,在一些實施例中,亦可藉由改變被導入至處理站800的一或更多氣體之流動速率而調整處理站800的壓力控制。
在一些實施例中,可相對於底座808而調整噴淋頭806的位置,以改變基板812與噴淋頭806之間的容積。再者,應理解,可藉由本揭示內容之範疇內的任何合適機構而改變底座808及/或噴淋頭806之垂直位置。在一些實施例中,底座808可包含旋轉軸,用以轉動基板812的方向。應理解,在一些實施例中,可藉由一或更多合適的電腦控制器850而以編程方式執行該等範例調整之其中一或更多者。
在可如上述而使用電漿的一些實施例中,噴淋頭806和底座808係與射頻(RF)電源供應器814和匹配網路816電氣通訊,俾電容式地為電漿供電。在一些實施例中,可藉由控制下列中一或更多者而控制電漿能量:處理站壓力、氣體濃度、RF源功率、RF源頻率、以及電漿功率脈衝時序。例如,可於任何適當功率下操作RF電源供應器814和匹配網路816,以形成具有所期望之自由基物種成分的電漿。可選擇低電漿功率以防止基板表面上之材料濺射。合適功率之範例為約150 W至約6000 W。
RF電源供應器814可提供任何適當頻率的RF功率。RF電源供應器814可係配置以各自獨立地控制高與低頻RF功率源。範例低頻RF頻率可包含(但不限於)介於0 kHz與500 kHz之間的頻率。範例高頻RF頻率可包含(但不限於)介於1.8 MHz與2.45 GHz之間、或大於約13.56 MHz、或大於27 MHz、或大於40 MHz、或大於60 MHz的頻率。應理解,可離散地或連續地調變任何適當參數,以針對表面反應提供電漿能量。
本揭示內容亦包含範例方法。在一範例中,參照圖9,金屬氧化物沉積中之輪廓控制方法900包含:在902,使前驅物氣體在腔室中之複數區域的第一區域中以第一氣體流率進入ALD反應器之腔室中,俾以第一沉積速率形成第一膜層;以及,在904,在腔室中之複數區域的相同或第二區域中以第二氣體流率輸送抑制前驅物氣體,俾抑制第一膜層之成長。
在一些範例中,方法900包含:在使前驅物氣體進入腔室之前,將抑制前驅物氣體輸送至腔室中。在一些範例中,方法900包含:在使抑制前驅物氣體進入腔室之前,將前驅物氣體輸送至腔室中。在一些範例中,方法900包含:在使前驅物氣體進入腔室的同時,將抑制前驅物氣體輸送至腔室中。在一些範例中,方法900包含:在複數區域之其中一者中以第三氣體流率輸送第二前驅物氣體,俾以第二沉積速率形成第二膜層。在一些範例中,方法900包含:在使抑制前驅物氣體進入腔室的同時,輸送第二前驅物氣體。在一些範例中,前驅物氣體包含一螫合劑。在一些範例中,螫合劑包含HAcAc、丁烷硫醇、乙醇、及膦之其中一或多者。在一些範例中,方法900包含:以小於在平面上達成前驅物氣體飽和所需之最小暴露之1%的暴露位準輸送低度暴露的抑制前驅物氣體。在一些實施例中,方法900之操作係以不同順序加以執行。
參照圖10,用於金屬氧化物沉積中之輪廓控制的範例方法1000包含:在1002,將基板提供至處理腔室;在1004,使基板暴露於前驅物,以在基板上形成膜層;在1006,選用性地將處理腔室排淨;在1008,使基板暴露於抑制前驅物,以抑制基板上之膜層的至少一部分或輪廓之成長;在1010,選用性地將處理腔室排淨;在1012,判定是否已產生期望的膜層厚度或輪廓。若為否,則以足夠的循環重複操作1004-1012,直到形成期望厚度或輪廓之膜層為止。
在一些範例中,方法1000包含:在使前驅物進入處理腔室之前,將抑制前驅物輸送至處理腔室中。在一些範例中,方法1000包含:在使抑制前驅物進入腔室之前,將前驅物輸送至處理腔室中。在一些範例中,方法1000包含:在使前驅物進入腔室的同時,將抑制前驅物輸送至處理腔室中。在一些範例中,方法1000包含:在複數區域之其中一者中輸送第二前驅物,俾以第二沉積速率形成第二膜層。在一些範例中,方法1000包含:在使抑制前驅物進入腔室的同時,輸送第二前驅物。在一些範例中,前驅物包含一螫合劑。在一些範例中,螫合劑包含HAcAc、丁烷硫醇、乙醇、及膦之其中一或多者。在一些範例中,方法1000包含:以小於在基板上達成前驅物飽和所需之最小暴露之1%的暴露位準輸送低度暴露的抑制前驅物。
在一些實施例中,方法1000之操作係以不同順序加以執行,例如可使基板在暴露於前驅物之前暴露於抑制前驅物。
在一些範例中,非暫態機器可讀媒體1122所包含之指令在由機器(例如電腦控制器1100)所讀取時使機器執行以下操作:包含至少上文所概述之方法900及1000的非限制性範例操作。
圖11為說明電腦控制器1100之範例的方塊圖,在電腦控制器1100上可實施本文所述之一或更多範例處理實施例,或者藉由電腦控制器1100可控制本文所述之一或更多範例處理實施例。在替代性實施例中,機器1100可作為獨立裝置操作,或可連接(例如網路連接)至其他機器。在網路配置中,電腦控制器1100可在伺服器-用戶端網路環境中的伺服器機器、用戶端機器、或以上兩者之容量中操作。在一範例中,電腦控制器1100可用作同級間(P2P)網路(或其他的分散式網路)環境中的對等機器。此外,雖然僅顯示單一的電腦控制器1100,然而用語「機器」亦應視為包含如下機器之任何集合:單獨或聯合執行一組(或複數組)指令以實行本文所述之方法之任一或多者,例如經由雲端運算、軟體即服務(SaaS)、或其他的電腦叢集配置。
本文所述之範例可包含邏輯、若干元件、或機構,或可藉由邏輯、若干元件、或機構而操作。電路系統為實施於包含硬體(例如簡單電路、閘極、邏輯等)之有形實體中的電路集合。電路系統構件可隨時間及基本硬體可變性而具靈活性。電路系統包含可以單獨或組合的方式在進行操作時執行指定操作的構件。在一範例中,可以固定不可變之方式設計電路系統的硬體俾執行特定操作(例如固線式)。在一範例中,電路系統的硬體可包含可變連接實體組件(例如執行單元、電晶體、簡單電路等),其包括經實體修改(例如磁性上地、電性上地、透過不變質量粒子之可移動設置等)以將特定操作之指令編碼的電腦可讀媒體。在連接實體組件時,使硬體組件的基本電性改變(例如,從絕緣體變成導體,或反之亦然)。指令使嵌入式硬體(例如執行單元或載入機構)能經由可變連接而在硬體中產生電路系統的構件,以在進行操作時執行特定操作之部分。因此,當裝置進行操作時,電腦可讀媒體係通信式地耦接至電路的其他組件。在一範例中,實體組件之任一者可用在多於一電路系統之多於一構件中。例如,在操作中,執行單元可在一時間點時用於第一電路系統之第一電路中,而在不同時間時由第一電路系統之第二電路、或由第二電路系統之第三電路所再使用。
電腦控制器(例如電腦系統)1100可包含硬體處理器1102(例如中央處理單元(CPU)、硬體處理器核心、或其任何組合)、圖形處理單元(GPU) 1103、主記憶體1104、及靜態記憶體1106,以上各者之其中一些或全部可經由互連(例如匯流排) 1108而彼此通信。電腦控制器1100可更包含顯示裝置1110、文數字輸入裝置1112(例如鍵盤)、及使用者介面(UI)導航裝置1114(例如滑鼠)。在一範例中,顯示裝置1110、文數字輸入裝置1112、及UI導航裝置1114可為觸控式螢幕顯示器。電腦控制器1100可額外包含大量儲存裝置(例如驅動單元)1116、信號產生裝置1118(例如揚聲器)、網路介面裝置1120、及一或更多感測器1121,例如全球定位系統(GPS)感測器、羅盤、加速度計、或另一感測器。電腦控制器1100可包含輸出控制器1128(例如串列的(例如通用串列匯流排(USB))、平行的、或其他有線或無線的(例如紅外線(IR)、近場通訊(NFC)等)連接),俾與一或更多週邊裝置(例如列印機、讀卡機等)進行通信、或控制該一或更多週邊裝置。
大量儲存裝置1116可包含機器可讀媒體1122,一或多組的資料結構或指令1124(例如軟體)可儲存於機器可讀媒體1122上,該等指令1124實現本文所述技術或功能之任一或多者、或係藉由本文所述技術或功能之任一或多者而加以利用。指令1124亦可完全或至少部分地存在於主記憶體1104內、靜態記憶體1106內、硬體處理器1102內、或GPU 1103內(在其由電腦控制器1100所執行之期間)。在一範例中,硬體處理器1102、GPU 1103、主記憶體1104、靜態記憶體1106、或大量儲存裝置1116之其中一者或任何組合可構成機器可讀媒體。
雖然機器可讀媒體1122係顯示為單一的媒體,然而用語「機器可讀媒體」可包含配置以儲存一或更多指令1124的單一媒體、或複數媒體(例如集中式或分散式資料庫、及/或相關快取及伺服器)。
用語「機器可讀媒體」可包含以下媒體:能夠儲存、編碼、或運載指令1124以用於藉由電腦控制器1100執行、及使電腦控制器1100執行本揭示內容之技術之任一或多者、或能夠儲存、編碼、或運載由此等指令1124所使用或與其相關之資料結構的任何媒體。非限制性機器可讀媒體範例可包含固態記憶體、及光學與磁性媒體。在一範例中,大量機器可讀媒體包含具有複數粒子的機器可讀媒體1122,該複數粒子具有不變質量(例如靜質量)。因此,大量機器可讀媒體並非暫態傳播信號。大量機器可讀媒體之特定範例可包含非揮發性記憶體,例如半導體記憶裝置(例如電子可程式唯讀記憶體(EPROM)、電子抹除式可程式唯讀記憶體(EEPROM))及快閃記憶裝置;磁碟,例如內部硬磁碟及可移磁碟;磁光碟;以及CD-ROM與DVD-ROM碟。可經由網路介面裝置1120利用傳輸媒體以透過通信網路1126而進一步發送或接收指令1124。
雖然已參照特定的範例實施例而描述實施例,但顯然地,可在不偏離本發明之更廣泛精神及範疇之情況下對該等實施例進行各種修改及改變。因此,說明書及圖式係視為說明性的而非限制性。構成本文中之一部分的附圖係以說明(而非限制)的方式顯示特定實施例,可在該等特定實施例中實行標的。所示實施例係以足夠細節描述,俾使熟習本技藝者能夠實行本文所揭示之教示。可利用其他實施例及從中衍生其他實施例,使得可在不偏離本揭示內容之範疇的情況下進行結構與邏輯的替換及變化。因此此實施方式說明並非視為限制性的,且各種實施例之範疇僅由隨附之申請專利範圍、以及此等申請專利範圍所賦予之等效物的全部範圍所界定。
本發明標的之此等實施例在此可個別及/或共同地由用語「發明」所提及,其僅係為了方便,而非意圖將本申請案之範疇自願性地限制於任何單一的發明或發明概念(若事實上揭露多於一個發明或發明概念)。因此,雖然本文顯示並描述特定實施例,但應理解,為實現相同目的而計算的任何配置可替代所示特定實施例。本揭示內容係意圖涵蓋各種實施例之任何及所有的調整或變化。在閱讀以上說明後,上述實施例之組合、及本文未具體描述的其他實施例對於熟習本技藝者係清楚明白的。
100A‧‧‧範例保形結構
100B‧‧‧範例保形結構
102‧‧‧上覆層
104‧‧‧上覆層
106‧‧‧上覆層
108‧‧‧下方結構
110‧‧‧下方結構
200‧‧‧測試結構
202‧‧‧保形層
300‧‧‧亞保形膜層
302‧‧‧渠溝
304‧‧‧下方結構
305‧‧‧膜層上部
306‧‧‧膜層下部
308‧‧‧標度
400‧‧‧超保形膜層
402‧‧‧渠溝
404‧‧‧下方結構
405‧‧‧膜層上部
406‧‧‧膜層下部
408‧‧‧標度
500‧‧‧SMFD反應器
502‧‧‧反應器入口
504‧‧‧反應器出口
600‧‧‧ICP電漿反應器
601‧‧‧氣體源
602‧‧‧金屬前驅物及抑制劑之來源
603‧‧‧第一漏洩閥
604‧‧‧反應器腔室
605‧‧‧石英管
606‧‧‧入口控制閥
607‧‧‧RF線圈
608‧‧‧第二漏洩閥
609‧‧‧渦輪泵浦
610‧‧‧四極柱式質譜(QMS)模組
700‧‧‧遠程電漿系統
702‧‧‧主處理腔室
704‧‧‧電漿源
706‧‧‧電漿
708‧‧‧遠程傳送區域
710‧‧‧氣體擋板
712‧‧‧位置
714‧‧‧氣體源
716‧‧‧真空泵浦
800‧‧‧原子層沉積(ALD)處理站
801a‧‧‧輸送系統
802‧‧‧處理腔室本體
803‧‧‧汽化點
804‧‧‧混合容器
806‧‧‧噴淋頭
808‧‧‧底座
810‧‧‧加熱器
812‧‧‧基板
814‧‧‧電源供應器
816‧‧‧匹配網路
818‧‧‧蝶形閥
820‧‧‧混合容器入口閥
850‧‧‧電腦控制器
900‧‧‧方法
902‧‧‧操作
904‧‧‧操作
1000‧‧‧方法
1002‧‧‧操作
1004‧‧‧操作
1006‧‧‧操作
1008‧‧‧操作
1010‧‧‧操作
1012‧‧‧操作
1100‧‧‧電腦控制器
1102‧‧‧硬體處理器
1103‧‧‧圖形處理單元(GPU)
1104‧‧‧主記憶體
1106‧‧‧靜態記憶體
1108‧‧‧互連
1110‧‧‧顯示裝置
1112‧‧‧文數字輸入裝置
1114‧‧‧導航裝置
1116‧‧‧大量儲存裝置
1118‧‧‧信號產生裝置
1120‧‧‧網路介面裝置
1121‧‧‧感測器
1122‧‧‧機器可讀媒體
1124‧‧‧指令
1126‧‧‧通信網路
1128‧‧‧輸出控制器
在附圖之視圖中以例示(而非限制)的方式顯示一些實施例:
依據範例實施例,圖1A-1B為保形結構之示意剖面圖。
依據範例實施例,圖2A-2B包含另一保形結構之示意剖面圖。
依據範例實施例,圖3包含亞保形結構之示意剖面圖。
依據範例實施例,圖4包含超保形結構之示意剖面圖。
依據範例實施例,圖5為在各別的給劑與排淨模式中的SMFD反應器之示意圖。
依據範例實施例,圖6為範例ICP電漿ALD反應器之示意圖。
依據範例實施例,圖7為遠程電漿反應器之示意圖。
依據範例實施例,圖8為CCP電漿反應器之示意圖。
依據範例實施例,圖9為顯示方法中之操作的流程圖。
依據範例實施例,圖10為顯示方法中之操作的流程圖。
圖11為說明電腦控制器之範例的方塊圖,藉由該電腦控制器可控制本文之一或更多範例方法。

Claims (18)

  1. 一種原子層沉積(ALD)設備,其包含: 一腔室; 前驅物氣體之來源; 抑制前驅物氣體之來源; 一或更多注射器,其具有各別的氣流路徑、各自具有可連接至該前驅物氣體或該抑制前驅物氣體之該來源的入口、且係用以單獨地或與另一注射器一起使前驅物氣體在複數區域的第一區域中以第一氣體流率輸送至該腔室中俾以第一沉積速率形成第一膜層、且係用以在該複數區域的相同或第二區域中以第二氣體流率輸送抑制前驅物氣體俾抑制該第一膜層之成長。
  2. 如申請專利範圍第1項之原子層沉積(ALD)設備,其中該一或更多注射器係進一步用以:在使該前驅物氣體進入該腔室之前,將該抑制前驅物氣體輸送至該腔室中。
  3. 如申請專利範圍第1項之原子層沉積(ALD)設備,其中該一或更多注射器係進一步用以:在使該抑制前驅物氣體進入該腔室之前,將該前驅物氣體輸送至該腔室中。
  4. 如申請專利範圍第1項之原子層沉積(ALD)設備,其中該一或更多注射器係進一步用以:在使該前驅物氣體進入該腔室的同時,將該抑制前驅物氣體輸送至該腔室中。
  5. 如申請專利範圍第1項之原子層沉積(ALD)設備,其中該一或更多注射器係進一步用以:在該複數區域之其中一者中以第三氣體流率輸送第二前驅物氣體,俾以第二沉積速率形成第二膜層。
  6. 如申請專利範圍第5項之原子層沉積(ALD)設備,其中該一或更多注射器係進一步用以:在使該抑制前驅物氣體進入該腔室的同時,輸送該第二前驅物氣體。
  7. 如申請專利範圍第1項之原子層沉積(ALD)設備,其中該前驅物氣體包含一螫合劑。
  8. 如申請專利範圍第1項之原子層沉積(ALD)設備,其中該螫合劑包含HAcAc、丁烷硫醇、乙醇、及膦之其中一或多者。
  9. 如申請專利範圍第1項之原子層沉積(ALD)設備,其中該一或更多注射器係進一步用於:以小於在平面上達成該前驅物氣體之飽和所需之最小暴露之1%的暴露位準輸送低度暴露的 該抑制前驅物氣體。
  10. 一種原子層沉積(ALD)中之輪廓控制方法,包含: 使前驅物氣體在ALD反應器之腔室中之複數區域的第一區域中以第一氣體流率進入該腔室中,俾以第一沉積速率形成第一膜層;以及 在該腔室中之該複數區域的相同或第二區域中以第二氣體流率輸送抑制前驅物氣體,俾抑制該第一膜層之成長。
  11. 如申請專利範圍第10項之原子層沉積(ALD)中之輪廓控制方法,更包含:在使該前驅物氣體進入該腔室之前,將該抑制前驅物氣體輸送至該腔室中。
  12. 如申請專利範圍第10項之原子層沉積(ALD)中之輪廓控制方法,更包含:在使該抑制前驅物氣體進入該腔室之前,將該前驅物氣體輸送至該腔室中。
  13. 如申請專利範圍第10項之原子層沉積(ALD)中之輪廓控制方法,更包含:在使該前驅物氣體進入該腔室的同時,將該抑制前驅物氣體輸送至該腔室中。
  14. 如申請專利範圍第10項之原子層沉積(ALD)中之輪廓控制方法,更包含:在該複數區域之其中一者中以第三氣體流率輸送第二前驅物氣體,俾在該腔室中以第二沉積速率形成第二膜層。
  15. 如申請專利範圍第14項之原子層沉積(ALD)中之輪廓控制方法,更包含:在使該抑制前驅物氣體進入該腔室的同時,輸送該第二前驅物氣體。
  16. 如申請專利範圍第10項之原子層沉積(ALD)中之輪廓控制方法,更包含:在該前驅物氣體中包含一螫合劑。
  17. 如申請專利範圍第16項之原子層沉積(ALD)中之輪廓控制方法,更包含:在該螫合劑中包含HAcAc、丁烷硫醇、乙醇、及膦之其中一或多者。
  18. 如申請專利範圍第10項之原子層沉積(ALD)中之輪廓控制方法,更包含:以小於在平面上達成該前驅物氣體之飽和所需之最小暴露之1%的暴露位準輸送該抑制前驅物氣體。
TW107147291A 2017-12-28 2018-12-27 利用化學抑制的膜層保形性調變 TWI800587B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762611541P 2017-12-28 2017-12-28
US62/611,541 2017-12-28
US15/962,953 2018-04-25
US15/962,953 US10843618B2 (en) 2017-12-28 2018-04-25 Conformality modulation of metal oxide films using chemical inhibition

Publications (2)

Publication Number Publication Date
TW201936975A true TW201936975A (zh) 2019-09-16
TWI800587B TWI800587B (zh) 2023-05-01

Family

ID=67068098

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107147291A TWI800587B (zh) 2017-12-28 2018-12-27 利用化學抑制的膜層保形性調變

Country Status (7)

Country Link
US (1) US10843618B2 (zh)
JP (1) JP7362621B2 (zh)
KR (1) KR20200094799A (zh)
CN (1) CN111742077A (zh)
SG (1) SG11202006145WA (zh)
TW (1) TWI800587B (zh)
WO (1) WO2019133303A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110473769A (zh) * 2018-05-11 2019-11-19 圆益Ips股份有限公司 薄膜形成方法
WO2021050368A1 (en) 2019-09-10 2021-03-18 Versum Materials Us, Llc Compositions and methods using same for non-conformal deposition of silicon-containing films
JP7249930B2 (ja) * 2019-11-20 2023-03-31 東京エレクトロン株式会社 成膜方法および成膜装置

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1779419A4 (en) * 2004-08-16 2009-04-08 Aviza Tech Inc DIRECT LIQUID INJECTION SYSTEM AND METHOD FOR FORMING MULTICOMPONENT DIELECTRIC FILMS
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
JP6195898B2 (ja) 2012-03-27 2017-09-13 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 核形成の抑制を伴うタングステンによるフィーチャ充填
JP6142676B2 (ja) 2013-05-31 2017-06-07 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング装置、金属膜及びそれを備えたデバイス
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US10103057B2 (en) * 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
WO2016081146A1 (en) * 2014-11-21 2016-05-26 Applied Materials, Inc. Alcohol assisted ald film deposition
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6529371B2 (ja) 2015-07-27 2019-06-12 東京エレクトロン株式会社 エッチング方法及びエッチング装置
CN108140578B (zh) 2015-10-23 2022-07-08 应用材料公司 通过表面毒化处理的由下而上的间隙填充
JP6573575B2 (ja) 2016-05-02 2019-09-11 東京エレクトロン株式会社 凹部の埋め込み方法
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성

Also Published As

Publication number Publication date
US10843618B2 (en) 2020-11-24
CN111742077A (zh) 2020-10-02
TWI800587B (zh) 2023-05-01
SG11202006145WA (en) 2020-07-29
WO2019133303A1 (en) 2019-07-04
US20190203354A1 (en) 2019-07-04
JP7362621B2 (ja) 2023-10-17
JP2021509444A (ja) 2021-03-25
KR20200094799A (ko) 2020-08-07

Similar Documents

Publication Publication Date Title
KR102594209B1 (ko) 심리스 피처 충진을 위한 억제제 플라스마가 매개된 원자층 증착
KR102414851B1 (ko) 증착 레이트 균일성을 향상시키고 기판 프로세싱 시스템들에서의 디펙트들을 감소시키기 위한 시스템들 및 방법들
US20200219718A1 (en) Selective deposition of silicon oxide
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
CN105463408B (zh) 用于等离子体辅助原子层沉积中的rf补偿的方法和装置
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
US10526700B2 (en) Hardware and process for film uniformity improvement
US20180010250A1 (en) Single ald cycle thickness control in multi-station substrate deposition systems
TW201936975A (zh) 利用化學抑制的膜層保形性調變
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
TW201629253A (zh) 含矽膜之原子層沉積中的選擇性抑制
CN105097459B (zh) 等离子体处理方法及等离子体处理装置
CN107017147A (zh) 包括多个注气点和双注射器的衬底处理室
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
US20210395885A1 (en) Throughput improvement with interval conditioning purging
CN103155719A (zh) 具备半圆形天线的基板处理装置
WO2020096722A1 (en) Nitride films with improved etch selectivity for 3d nand integration
US20240167153A1 (en) In-situ film annealing in substrate processing