KR20070044492A - 복합 요소 유전막을 형성하기 위한 직접 액체 주입 시스템및 방법 - Google Patents

복합 요소 유전막을 형성하기 위한 직접 액체 주입 시스템및 방법 Download PDF

Info

Publication number
KR20070044492A
KR20070044492A KR1020077006011A KR20077006011A KR20070044492A KR 20070044492 A KR20070044492 A KR 20070044492A KR 1020077006011 A KR1020077006011 A KR 1020077006011A KR 20077006011 A KR20077006011 A KR 20077006011A KR 20070044492 A KR20070044492 A KR 20070044492A
Authority
KR
South Korea
Prior art keywords
precursors
deposition
processing chamber
precursor
bubbler
Prior art date
Application number
KR1020077006011A
Other languages
English (en)
Inventor
요시히데 센자키
Original Assignee
에비자 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에비자 테크놀로지, 인크. filed Critical 에비자 테크놀로지, 인크.
Publication of KR20070044492A publication Critical patent/KR20070044492A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Inorganic Insulating Materials (AREA)

Abstract

본 발명은 원자 층 증착(ALD)을 위한 방법 및 시스템을 제공한다. 일부 실시예에서, 하나 이상의 증착 전구체들을 하나 이상의 기화 챔버들로 주입하도록 구성된 하나 이상의 직접 액체 주입 시스템, 하나 이상의 증착 전구체들을 기화하도록 구성된 하나 이상의 버블러 시스템, 및 상기 직접 액체 주입 시스템 및 상기 버블러 시스템에 연결된 처리 챔버 - 상기 처리 챔버는 상기 직접 액체 주입 및 버블러 시스템으로부터 증착 전구체들을 수용하도록 구성되며 ALD 처리를 실행하도록 적응됨 - 를 포함하는 시스템이 제공된다. 대안의 실시예에서, 시스템은 두 개의 별개의 버블러 시스템으로 이루어진다. 다른 대안의 실시예에서, 시스템은 두 개의 별개의 직접 액체 주입 시스템으로 이루어진다.

Description

복합 요소 유전막을 형성하기 위한 직접 액체 주입 시스템 및 방법{DIRECT LIQUID INJECTION SYSTEM AND METHOD FOR FORMING MULTI-COMPONENT DIELECTRIC FILMS}
본원은 2004년 8월 16일자로 출원된 미국 가특허출원 일련번호 60/602,189의 이익을 향유하며 이에 우선권을 주장하고, 이 출원의 개시는 그 전체가 참고문헌으로 본원에 포함된다.
일반적으로, 본 발명은 반도체 어플리케이션에 박막을 형성하기 위한 시스템 및 방법에 관한 것이다. 구체적으로, 본 발명은 혼합된 기화 전구체들을 이용하여 기판상에 복합 요소 박막을 제조하기 위한 시스템 및 방법에 관한 것이다.
마이크로전자소자의 소형화로의 추세 및 복잡성의 증가와 동시에, 집적 회로당 트랜지스터의 수는 더욱 빠르고, 더욱 소형이고, 보다 강력한 전자 시스템에 대한 수요를 만족시키기 위해 기하급수적으로 성장해왔고 성장할 예정이다. 그러나, 이산화규소 게이트 유전체가 얇은 원자층 두께로 되는 전통적인 실리콘 기반의 트랜지스터 기하구조는 임계점에 달했기 때문에, 전자의 터널링(tunneling)은 더욱 우세하게 전류 누설에 이르게 되고 전력 낭비를 증가시킬 것이다. 따라서, 이산화규소보다 일층 높은 투과율 또는 유전 상수를 갖고 전류 터널링 또는 누설을 방지 할 수 있는 대안의 유전체는 매우 바람직할 것이다. 이산화규소를 대체할 가장 유망한 유전체 후보는, 하프늄 산화물, 지르코늄 산화물 및 탄탈 산화물을 포함하는 금속화합물이다.
불행히도, 이러한 물질들은 이산화규소와는 달리 실리콘상에서 화학적으로 및 열적으로 불안정하여, 금속 유전체와 실리콘 기판 사이의 계면에서 결함과 전하 트랩(charge trap)을 형성한다. 전하 트랩 및 결함은 게이트에 인가된 전압과 상호작용하며 트랜지스터의 성능과 신뢰성을 불안하게 한다. 계면의 전하 트랩과 결함의 형성을 제한하기 위해서, 이산화규소의 계면층이 유전체와 실리콘 기판 사이에 증착된다. 이산화규소 계면은 유전체로부터 실리콘 기판을 버퍼링하지만, 이산화규소 계면은 유전체의 표면 특성과 호환가능하지 않을 수 있다. 따라서, 실리콘 기판과 유전체의 표면 특성과 케미컬을 개선할 수 있는 한편, 등가의 물리적 산화물 두께를 최소화할 수 있는 계면이 초박형 하이 k 유전층을 제조하기 위해서 필요하다.
화학기상증착(CVD)과 같은 막을 제조하기 위한 선행 기술의 증착 기법은 점차 진보된 박막의 요건을 만족시킬 수 없다. CVD 공정은 개선된 스텝 커버리지를 갖는 컨포멀한 막을 제공하도록 재단될 수 있지만, CVD 공정은 종종 높은 처리 온도를 요한다. 예를 들어, 하이-k 게이트 유전체를 제조하는 데 방해물 중 하나는 CVD 공정 동안 계면의 이산화규소 층의 형성이다. CVD에서의 기체상 반응은 파티클 생성에 이르게 된다. 또 다른 방해물은 실리콘 기판상에 하이-k 게이트 유전체를 위한 초박막을 증착하는 데 있어서의 종래기술 CVD 공정의 제한이다.
매우 얇은 막을 증착하기 위한 전통적인 CVD 공정에 대한 대안은 원자 층 증착(ALD)이다. ALD는 전통적인 CVD에 대해 여러 장점을 가진다. ALD는 보다 낮은 온도로의 산업계의 추세와 조화되는 비교적 낮은 온도에서 수행될 수 있고, 컨포멀한 박막층을 생성할 수 있다. 가령, ALD 처리를 사용하여 HfxSiyO2(x+y=1) 막과 같은 복합 요소 막을 증착하기 위한 기존 방법은 순차적인 기상 증착 방법을 이용하여 HfO2 및 SiO2 막의 라미네이트 막을 증착하는 것이다. 즉, 전구체 케미컬들이 혼합되지 않고, 대신 Hf 함유 전구체 및 Si 함유 전구체가 독립적으로 펄싱되고 순차적으로 챔버로 유입되어 각각 HfO2 및 SiO2 막의 라미네이트 층을 형성하는 것이다. 사실, 전구체들의 어떠한 혼합도 금지되며, 챔버는 제2의 전구체가 펄싱되기 전에 하나의 전구체에 대하여 배기된다. 일단 라미네이트 막이 원하는 두께로 형성되면, 막은 막 전체에 걸쳐 보다 연속적인 조성에 도달시키려는 시도로 어닐링된다. 이러한 서로 다른 라미네이트 막들의 층을 형성하는 접근법은 막에 있어서의 많은 전자 트랩에 이르게 되는데, 이는 이 트랩을 고정시키기 위하여 고온 열 어닐링을 요하는 다수의 계면들에 기인한다. 고온 열 어닐링 단계의 부가는 반도체 제조에 대해 비용과 시간을 증가시키고, 더욱이 웨이퍼상의 이전에 형성된 층들로부터 요소들의 바람직하지 않은 외부로의 이동을 발생시킬 수 있다. 또한, 라미네이트 방법에서 복합 요소 막들의 화학양론적 조성을 제어하기 어렵다. 유전 상수(k), HfSiOx막의 결정 온도 및 굴절률은 전통적인 하나의 화학적 순차 전구체 펄싱 방법(가령 라미네이트 방법)에 의해서는 쉽게 제어될 수 없다. 또한, 종래의 한번에 하나의 화학 전구체의 순차적 펄싱 및 정화를 이용하여 원하는 두께의 막을 형성하는 데 요하는 순환 시간은 비실용적이며 미래의 IC 제조를 위해서는 너무 많은 시간을 요한다.
복합 요소 막을 혼합된 전구체를 이용하여 제조하려는 시도는 전통적인 CVD 방법에 제한되어 왔다. 예를 들어, 미국 특허 No. 6,537,613 및 No. 6,238,734(두 건 모두 Senzaki 등에게 허여)(이하 '613 특허 및 '734 특허)는 일반적으로 금속 및 준금속 화합물을 포함하는 경사 조성을 발생시키는 방법 및 시스템을 개시하고 있다. '613 및 '734 특허에 기재된 방법과 관련된 몇 가지 단점이 존재한다. 주된 종래 기술의 단점 중 하나는 막 조성 제어의 제한이다. 또한, 챔버로 주입되는 것은 액체 혼합물이다. 이와 같이, 만약 액체 혼합물이 완전히 혼합되지 않는다면, 비균질한 조성 및 경사를 갖는 막이 기판상에 형성될 것이다. 또한, 적절한 양의 샘플들이 제공된다 하더라도, 각 전구체가 유일한 끓는점, 증기압 및 휘발성을 가지므로 혼합물이 균일하게 기화할 것을 보장할 수 없다. 또한, 전구체들 사이의 끓는 점에 있어서의 불일치가 현저하다면, 하나의 전구체는 두 번째로 형성되는 미립자들 또는 오염물들의 끓는점에서 분해할 수도 있다. 일반적으로, 전구체들이 적절하게 혼합되지 않았으므로 균일하지 않은 막 조성을 가지거나, 두 증기의 혼합이 기체상에서의 사전 반응을 일으켜 웨이퍼상에 증착되는 미립자들 또는 오염물들을 형성시킨다.
따라서, 특히 ALD 처리를 이용하여 복합 요소 막을 형성하기 위하여 전구체들을 챔버로 전달하는 데 직접 액체 주입을 이용할 때, 복합 요소 막을 제조하는 방법에 있어서의 추가적인 개선에 대한 필요성이 존재한다.
일반적으로, 본원의 발명자는 복합 요소 막을 형성하기 위하여 기화된 전구체들의 혼합물이 원자 층 증착(ALD) 처리의 단일 증착 또는 펄스 단계 동안 챔버내에 함께 존재하도록 기화된 전구체들을 혼합하는 것을 제공하는 방법을 발견하였다. 기화된 전구체들 각각은 적어도 하나의 서로 다른 화학 성분으로 이루어지며, 이러한 서로 다른 성분들은 단층을 형성하여 복합 요소 막을 생성할 것이다. 본 출원은 2004년 6월 15일자로 출원된 미국특허출원 일련번호 10/869,779 및 2004년 4월 21일자로 출원된 미국특허출원 일련번호 10/829,781와 관련이 있고, 이 출원들의 개시는 그 전체가 참고문헌으로 본원에 포함된다. 이러한 방법은 기화된 전구체들이 개별적으로 ALD 프로세스에서 챔버로 펄싱되어 성분들 중의 하나만을 함유하는 개별 단층들을 형성하는 종래 기술로부터의 출발한다.
본 발명의 일 측면은, 기화된 전구체들을 서로 혼합하고, 이후 전구체들의 혼합물이 ALD 챔버에 존재하도록 기화된 전구체들을 주입 또는 공동주입함으로써 복합 요소 유전체 막을 제조하는 방법 및 시스템을 제공한다. 본원에서 사용된 것처럼, 용어 "복합 요소" 막은 두개 이상의 금속 또는 준금속 요소들을 포함하는 막을 의미한다. 금속, 금속 합금, 혼합된 금속 산화물, 실리케이트, 질화물, 산질화물, 및 이들의 혼합물을 포함하는 다양한 복합 요소 막이 본원 발명에 의해 형성될 수 있다.
본 발명의 일 실시예에서, 원자 층 증착에 의해 기판의 표면상에 박막을 형성하는 방법이 제공되는데, 이는 두 개 이상의 기화된 전구체 - 각각의 전구체가 하나 이상의 상이한 화학 성분(전형적으로 금속 또는 준금속 요소)을 함유함 -가 기판의 표면상에 단층(monolayer)을 형성하도록 함께 처리 챔버로 전달되며, 상기 단층은 개별 화학 성분들 각각을 포함하는 것을 특징으로 한다. 일반적으로 용어 공동주입(co-injection)은 복합 요소를 갖는 막이 생성되도록 적어도 하나의 서로 다른 화학 성분을 갖는 둘 이상의 전구체들이 챔버내에 존재함을 의미하기 위해 사용된다. 이는 전구체들을 증기나 액체 상태(에어로졸) 중 하나로 처리 챔버로 주입 또는 전달하거나, 또는 처리 챔버에서 전구체들을 혼합함으로써 성취될 수 있다. 처리 챔버로의 도입 이전에 전구체들을 혼합하는 것이 바람직하지만 필수적인 것은 아니다.
본 발명의 또 다른 측면에서, 복합 요소 막을 형성하는 시스템이 제공된다. 일 실시예에서, 시스템은 매니폴드에 연결된 하나 이상의 기화기를 일반적으로 포함한다. 매니폴드는 기화기에 의해 생성된 기화된 전구체들을 혼합하도록 구성된다. 매니폴드는 처리 챔버로의 주입부(inlet)에 연결되고 혼합된 전구체들은 주입부를 통해 챔버로 주입된다. 일 실시예에서, 주입부는 샤워헤드 주입기와 같은 주입기로 구성된다. 전구체들은 매니폴드가 아닌 주입기에서 혼합될 수 있다.
본 발명의 또 다른 실시예에서, 처리 챔버가 단일 기판상에서 상기 증착 방법을 실행하는 방식으로 구성되어 있는 시스템 및 방법이 제공된다. 대안으로, 처리 챔버가 일반적으로 1 내지 200개인 다수의 기판상에서 상기 증착 방법을 실행하는 방식으로 구성되어 있는 시스템 및 방법이 제공된다. 일례로서, 기판이 직경 200mm를 갖는 실리콘 웨이퍼일 때, 1 내지 200개의 기판을 처리하는 것이 가능할 것이다. 보다 구체적으로 기판이 직경 200mm를 갖는 실리콘 웨이퍼일 때, 1 내지 150개의 기판을 처리하는 것이 가능할 것이다. 기판이 직경 300mkm의 직경을 갖는 실리콘 웨이퍼라면, 1 내지 100개의 기판을 처리하는 것이 보다 일반적일 것이다. 최근에는, "미니-배치(batch)" 반응로의 새로운 버전이 시장에 형성되었으며, 이에 의해 1 내지 50개의 기판들의 배치가 단일 배치내에 소유될 것이다. 이 경우, 기판들은 직경 200mm 또는 300mm인 실리콘 웨이퍼일 것이다. 마지막으로, 새로운 "미니-배치" 시스템의 일부는 1 내지 25개의 기판을 처리하도록 구성된다. 다시, 이 경우, 기판들은 직경 200mm 또는 300mm인 실리콘 웨이퍼일 것이다.
추가의 실시예에서, 기판의 표면에 막을 형성하는 방법이 제공되는데, 이는 각각의 전구체가 하나 이상의 상이한 화학 성분을 함유하는 두 개 이상의 전구체가 제공되며, 상기 원하는 양의 상기 전구체들은 직접 액체 주입 및 버블러 시스템 중 하나 또는 모두에 의해 기체 상태로 변환되며, 상기 기체 상태의 전구체들은 함께 처리 챔버에 전달되어 상기 기판의 표면상에 단층을 형성하며, 상기 단층은 각각의 개별 화학 성분을 포함하는 것을 특징으로 한다.
다른 측면에서, 하나 이상의 증착 전구체들을 하나 이상의 기화 챔버들로 주입하도록 구성된 하나 이상의 직접 액체 주입 시스템, 하나 이상의 증착 전구체들을 기화하도록 구성된 하나 이상의 버블러 시스템, 및 상기 직접 액체 주입 시스템 및 상기 버블러 시스템에 연결된 처리 챔버 - 상기 처리 챔버는 상기 직접 액체 주입 및 버블러 시스템으로부터 증착 전구체들을 수용하도록 구성되며 ALD 처리를 실행하도록 적응됨 - 를 포함하는 원자 층 증착(ALD)용 시스템이 제공된다.
대안의 실시예에서, 이 시스템은 두 개의 개별 버블러 시스템으로 이루어진다. 또 다른 대안의 실시예에서, 이 시스템은 두 개의 개별 직접 액체 주입 시스템으로 이루어진다.
본 발명의 다른 측면, 실시예 및 이점은 본 발명의 상세한 설명 및 후에 제공되는 첨부된 특허청구범위를 읽고, 다음의 도면을 참조할 때 명백해질 것이다.
도 1은 본 발명의 일 실시예에 따라 복합 요소 막을 제조하는 시스템의 개략적인 블록도이다.
도 2는 본 발명의 대안의 실시예에 따라 복합 요소 막을 제조하는 시스템의 개략적인 블록도이다.
도 3은 본 발명의 추가의 실시예에 따라 복합 요소 막을 제조하는 시스템의 개략적인 블록도이다.
도 4는 본 발명의 직접 액체 분사 시스템의 일 실시예를 채용하는 원자 층 증착 시스템을 도시하는 간략화된 개념 블록도이다.
도 5A 및 5B는 본 발명의 실시예에서 채용될 수 있는 배치 원자 층 증착 시스템을 도시하는 간략화된 부분단면도이다.
일반적으로, 본원 발명자들은 기판의 표면에 복합 화합물을 갖는 단층을 형성하기 위하여 전구체들의 혼합물이 원자층 증착(ALD) 공정의 단일 펄스 단계 동안 챔버내에 존재하도록 혼합물 전구체들을 제공하는 방법을 발견하였다. 전구체들은 서로 다른 화합물로 이루어지며, 이러한 화합물들은 복합 요소 막(multi component film)을 형성할 것이다. 이러한 방법은 기화된 전구체들이 개별적으로 ALD 프로세스의 챔버로 전달되거나 펄싱되는 종래 기술로부터의 출발한다. 본 발명에 의해 금속, 금속 합금, 혼합된 금속 산화물, 실리케이트, 질화물, 산질화물, 및 이들의 혼합물을 포함하는 다양한 복합 요소 막들이 형성될 수 있다.
일 측면에 있어서, 본 발명은 복합 요소 막의 화학양론 조성을 재현가능하고 실질적으로 균일하게 제어하는 시스템 및 방법을 제공한다.
일련의 실시예에서, 본 발명은 전류 터널링 또는 누설을 방지할 수 있고 실리콘 이산화물보다 높은 투과율 또는 유전상수를 보유하는 유전체들을 제조하는 시스템 및 방법을 제공한다. 본 발명의 다른 측면은, 유전층 및 실리콘 기판의 표면 특성과 화학적성질(chemistries)을 개선할 수 있는 한편 등가의 산화물 두께를 최소화하는 계면을 제조하는 시스템 및 방법을 제공한다.
또 다른 측면에서, 본 발명은 복합 요소 막을 형성하는 시스템을 제공한다. 일 실시예에서, 본 시스템은 일반적으로 하나 이상의 기화기를 포함하며, 각 기화기는 매니폴드에 결합된다. 매니폴드는 반응 또는 증착 챔버로의 주입부에 결합되며, 상기 주입부는 샤워헤드 주입기 등과 같은 주입기(injector)로 이루어진다.
각각의 기화기는 하나 이상의 증착 금속을 포함하는 단일 증착 전구체를 보유한다. 각각의 기화기는 질량 유량 제어기(Mass Flow Controller) 및 온도 제어 유닛에 결합된다. 질량 유량 제어기 및 온도 유닛은 처리 챔버에 존재하는 증착 전구체들의 농도를 적정화하기 위하여 선택적으로 제어될 수 있다. 일 실시예에서, 각각의 질량 유량 제어기는 시스템을 통해 캐리어 가스의 흐름을 적정화하며, 다음에는, 캐리어 가스가 증착 전구체를 희석시키고 매니폴드 또는 처리 챔버로 운반한다.
몇몇 일련의 실시예에서, 기화기는 적어도 하나의 증착 금속을 포함하는 단일 증착 전구체를 기화하는 버블러이다. 캐리어 가스를 포함하는 가압된 가스는 버블링되어 증착 전구체로 된다. 가압된 가스의 유속은 처리 챔버내에 존재하는 증착 전구체의 농도를 조절하도록 선택적으로 제어될 수 있다. 캐리어 가스는 전구체의 증기로 포화된다.
일 실시예에서, 매니폴드는 처리 챔버로의 운송 전에 증착 전구체의 혼합을 용이하게 한다. 일부 실시예에서, 매니폴드는 처리 챔버로의 운송 전에 증착 전구체들을 수용하고 혼합하는 T-접합 공동(cavity)을 포함한다. 매니폴드는 증착 전구체들의 처리 챔버로의 흐름을 용이하게 하도록 가열될 수 있으므로 매니폴드에서의 응축을 방지할 수 있다. 대안으로, 전구체들의 혼합이 처리 챔버에서 발생할 수 있고 매니폴드는 제거될 수 있다.
증착 전구체는 가스 주입부를 통하여 일반적으로 처리 챔버로 운반되며 증착 전구체의 단층은 표면 또는 기판상에 흡수되는 화학적 및/또는 물리적 층(chemi and/or physi)이다. 기판은 실리콘, 금속, 금속 합금, 유리, 중합체, 플라스틱, 유기 또는 무기의 워크피스(work piece)일 수 있다. 가스 주입부는 다양한 형태를 취할 수 있다. 일 실시예에서, 가스 주입부는 샤워헤드 주입기 등과 같은 주입 기로 이루어진다. 대안으로, 증착 전구체들은 다수의 주입기들에 의해 기판 표면에 운반될 수 있다.
일반적으로, 기판은 하나의 웨이퍼 챔버가 사용될 때 증착 동안 정전척 또는 진공척과 같은 웨이퍼 지지부상에 지지된다. 일 실시예에서, 척은 전도, 대류, 복사 또는 비복사 처리, 또는 이들의 조합에 의해 기판을 냉각 또는 가열할 수 있다. 대안으로, 웨이퍼 지지부는 배치 처리를 위해 다수의 기판을 지지하는 보트(boat) 또는 카세트(cassette)일 수 있다. 다수의 기판의 수는 일반적으로 1 내지 20개, 바람직하게는 1 내지 150개, 대안으로 1 내지 100개, 다른 대안으로 1 내지 50개의 기판, 선택적으로 1 내지 25개이다.
주입 포트는 단층 또는 기판 표면의 일련의 산화, 환원 또는 질화를 촉진시키기 위하여 산화, 환원 또는 질화 반응제를 인시튜 방식으로 처리 챔버에 제공한다.
본 발명은 기체 전구체들의 혼합물을 포함하는 전구체들을 챔버내로 혼합하고 비교적 낮은 온도에서 ALD 처리를 실행함으로써 복합 요소 막을 제조하는 시스템 및 방법을 제공한다. 본 발명의 일례에서, 기체 전구체들의 혼합물은 금속 아미드 및 실리콘 아미드를 포함한다. 전구체들의 혼합물의 단층이 기판 표면상에 형성될 수 있고 잉여의 혼합물은 다양한 수단을 이용하여 제거된다. 기판은 그 후 암모니아, 중수소화 암모니아, 15N-암모니아, 아민, 아미드, 히드라진, 알킬 히드라진, 질소 가스, 산화질소, 아산화질소, 질소 라디컬, N-산화물, 원자 질소 및 이들 의 혼합물과 같은 질화제(nitridating agent)에 노출된다. 질화제는 전구체들의 단충과 반응할 수 있다. 잉여의 질화제는 다양한 수단을 이용하여 제거된다. 기판은 그 후 오존, 산소, 과산화물, 물, 공기, 아산화질소, 산화질소, H2O2, N-산화물, 및 이들의 혼합물과 같은 산화제에 노출된다. 이는 기판상에 금속-실리콘-질소-산소 화합물의 단일층을 형성한다. 이 시퀀스는 원하는 두께를 증착하도록 요구되는 경우 반복될 수 있다.
특정 이점을 위하여, 본 발명의 복합 요소 막은 경사 조성(compositional gradient)으로 형성될 수 있다. 경사 조성은 유전체 및 기판을 "버퍼링"하기 위해 사용될 수 있다. 예를 들어, 기판이 실리콘인 경우, 제1 층은 실리콘이 풍부하고 유전체를 이루는 제2 증착 금속의 더 적은 양으로 증착된다. 제1 층의 상부에, 유전체를 이루는 증착 금속을 현저하게 포함하는 제2 층이 실질적으로 더 적은 양의 실리콘에 부가하여 증착된다. 일부 실시예에서, 인접 층들의 표면 특성 및 화학적성질을 혼합하도록 부가적인 층들이 부가될 수 있다. 다양한 실시예에서, 각각의 층은 인시튜 방식으로 산화, 환원, 질화되거나, 이들이 조합될 수 있다. 경사 조성은 또한 막의 특유의 광 특성을 제공하는, 막내의 굴절률 경사를 제공한다.
도 1은 본 발명의 일 실시예에 따라 복합 요소 막을 제조하는 시스템의 일 실시예를 도시하는 간략화된 개념도이다. 도 1을 참조하면, 일반적으로 시스템(10)은 하나 이상의 웨이퍼 또는 기판을 지지하는 웨이퍼 지지부를 하우징하는 처리 챔버(비도시)를 포함한다. 가스 주입부(12)는, 증착 전구체와 다른 가스들 (가령 산화 가스와 같은 반응 가스들, 또는 희석 가스들)을 챔버로 전달하여 기판의 표면상에 다양한 층 또는 막을 형성하기 위해 제공된다. 도시된 실시예에서, 가스 매니폴드(14)는 하나 이상의 기화 시스템(15)을 처리 챔버로 상호연결한다. 도 1에 도시된 실시예에서 기화 시스템은 적어도 하나의 DLI 시스템(16)과 하나의 버블러 시스템(20)을 도시하나, 임의의 수의 기화 시스템이 채용될 수 있다. 각각의 DLI 시스템(16)은 증착 전구체 또는 증착 전구체들의 혼합물을 보유하는 하나 이상의 저수조(22)와, 저수조(22)안의 내용물을 기화하는 것을 보조하도록 캐리어 가스가 이를 통해 유동하는 기화기 요소(18)를 포함한다. 캐리어 가스의 DLI 기화기(18)로의 유동은 질량 유량 제어기(24)를 이용하여 조정되어 기화된 증착 전구체들의 속도 및 농도를 제어할 수 있다.
도 2는 복합 요소 막을 제조하는 시스템의 다른 실시예를 도시하는 간략화된 개념도이다. 도 2를 참조하면, 일반적으로 시스템(30)은 웨이퍼 또는 기판을 지지하는 웨이퍼 지지부를 하우징하는 처리 챔버(비도시)를 포함한다. 가스 주입부(31)는, 증착 전구체와 다른 가스들(가령 산화 가스와 같은 반응 가스들, 또는 희석 가스들)을 챔버로 전달하여 기판의 표면상에 다양한 층 또는 막을 형성하기 위해 제공된다. 도시된 실시예에서, 가스 매니폴드(32)는 하나 이상의 기화 시스템(34)을 처리 챔버로 상호연결한다. 도 2에 도시된 실시예는 두 개의 DLI 시스템(36A 및 36B)을 도시하나, 임의의 수의 기화 수단이 채용될 수 있다. 각각의 DLI 시스템(36A 및 36B)은 증착 전구체 또는 증착 전구체들의 혼합물을 보유하는 저수조(38A 및 38B)와, 저수조(38A 및 38B)안의 내용물을 기화하는 것을 보조하도 록 캐리어 가스가 이를 통해 유동하는 기화기 요소(40A 및 40B)를 포함한다. 캐리어 가스의 기화기(40A 및 40B)로의 유동은 각각의 질량 유량 제어기(42A 및 42B)를 이용하여 조정되어 기화된 증착 전구체들의 속도 및 농도를 제어할 수 있다.
도 3은 본 발명의 일 실시예에 따라 복합 요소 막을 제조하는 시스템의 일 실시예를 도시하는 간략화된 개념도이다. 도 3을 참조하면, 일반적으로 시스템(50)은 기판 또는 웨이퍼를 지지하는 웨이퍼 지지부를 하우징하는 처리 챔버(비도시)를 포함한다. 가스 주입부(51)는, 증착 전구체와 다른 가스들(가령 산화 가스와 같은 반응 가스들, 또는 희석 가스들)을 챔버로 전달하여 기판의 표면상에 다양한 층 또는 막을 형성하기 위해 제공된다. 도시된 실시예에서, 가스 매니폴드(52)는 하나 이상의 기화 시스템(54)을 처리 챔버로 상호연결한다. 도 3에 도시된 실시예는 두 개의 버블러 기화기 시스템(56A 및 56B)을 도시하나, 임의의 수의 기화 수단이 채용될 수 있다. 각각의 버블러 기화기 시스템(56A 및 56B)은 증착 전구체 또는 증착 전구체들의 혼합물을 보유하는 저수조(58A 및 58B)와, 저수조(58A 및 58B)안의 내용물을 기화하는 것을 보조하도록 캐리어 가스가 이를 통해 유동하는 기화기 시스템(56A 및 56B)을 포함한다. 캐리어 가스의 기화기 시스템(56A 및 56B)으로의 유동은 각각의 질량 유량 제어기(60A 및 60B)를 이용하여 조정되어 기화된 증착 전구체들의 속도 및 농도를 제어할 수 있다.
본 발명의 일 실시예에서, 적어도 하나의 증착 금속을 포함하는 다음의 식을 갖는 증착 전구체들이 이용된다:
M(L)x
여기서, M은 Ti, Zr, Hf, Ta, W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge 및 이들의 혼합물로 이루어진 군으로부터 선택된 금속이며; L은 아민, 아미드, 알콕사이드, 할로겐, 수소화물, 알킬, 아지드화물, 질산염, 아질산염, 사이클로펜타디에닐, 카르보닐, 카르복시산염, 디케토네이트, 아세타미디네이트(acetamidinate), 케토이미드(ketoimide), 디이미드(diimide), 알켄(alkene), 알킨(alkyne), 및 이들의 대체되는 유사종, 및 이들의 조합으로 이루어진 군으로부터 선택된 리간드이며; x는 M에 대한 원자가 수(valence number) 이하의 정수이다.
리간드 교환이 각각의 전구체가 증기 형태로 혼합될 때 발생하는 것을 방지하기 위하여 증착 전구체 각각에 있어서 리간드(L)를 동일하게 선택하는 것이 유리하다. 리간드 교환은 증착된 막의 품질에 악영향을 미칠 수 있는 미립자의 형성에 이를 수 있다. 진공 형태에서 리간드 교환을 겪지 않는 리간드가 또한 적절하다.
한 가지 바람직한 실시예에서, 두 가지 증착 전구체들이 선택되는데, 제1 증착 전구체는 M이 하프늄인 전구체이고, 제2 증착 전구체는 M이 실리콘인 전구체이다. 제1 및 제2 증착 전구체 모두는, 리간드 교환이 제1 및 제2 전구체가 혼합될 때 발생하는 것을 방지하기 위하여 동일한 리간드(L)를 갖는다. 적절한 리간드는 디메틸아민, 디에틸아민, 디에틸 메틸 아민 또는 tert-부톡사이드를 포함하나 이에 제한되지 않는다.
하프늄 소스는 하프늄 디알킬 아미드, 하프늄 알콕사이드, 하프늄 디케토네이트, 하프늄 클로라이드, 테트라키스(에틸메틸아미노) 하프늄(TEMA-Hf), 등 중의 하나 또는 이들의 조합을 포함할 수 있다. 실리콘 소스는 아미노 실란, 실리콘 알콕사이드, 실리콘 디알킬 아미드, 실란, 실리콘 클로라이드, 테트라메틸디실록산(TMDSO), 테트라키스(에틸메틸아미노) 실리콘(TEMA-Si) 등 중의 하나 또는 이들의 조합을 포함할 수 있다. 바람직한 실시예에서, 액체 전구체들(124, 126)은 각각TEMA-Hf 및 TEMA-Si로 구성된다.
증착 전구체들은 직접 액체 주입에 의하거나, 버블러 시스템에 의하거나, 이들의 조합에 의해 기화된다. 각각의 기화기는 하나의 증착 전구체를 보유한다. 일부 실시예에서, 각 시스템은 질량 유량 제어기와 가열 메커니즘에 연결된 하나 이상의 기화기를 포함한다. 전술한 것처럼 본원 발명의 일 실시예에 따라서, 증착된 막에 하나 이상의 화학 성분의 경사 구성이 제공된다. 일 실시예에서, 조성의 선택적인 제어는 기화되는 전구체의 양을 제어함으로써 성취된다. 기화되는 전구체의 양은 일반적으로 선택된 전구체(들)의 원하는 농도를 기화하기 위하여 기화기를 가열하는 온도 유닛 및/또는 가스 유량 제어기를 조절함으로써 제어된다. 부가적으로 또는 대안으로, 희석 가스가 주입기 또는 매니폴드(비도시)로 전달될 수 있고, 희석 가스의 유속은 챔버로 전달되는 증착 전구체의 양을 희석시키도록 선택적으로 제어될 수 있다.
기화기는 적어도 하나의 증착 금속을 포함하는 증착 전구체를 기화하는 버블 러로 구성될 수 있다. 기화기가 버블러인 경우, 캐리어 가스와 같은 가압된 가스는 증착 전구체 저수조로 버블링된다. 유용한 캐리어 가스는 질소, 아르곤, 또는 헬륨가스를 포함한다. 가압된 가스는 증착 전구체를 희석하고 이들 각각의 증착 전구체 도관으로 운반하며, 증착 전구체의 혼합을 용이하게 한다. 선택적으로, 막에 경사 구성을 제공하기 위하여, 하나 이상의 증착 전구체들의 농도는 기화되는 증착 전구체의 양을 선택적으로 증가 또는 감소시키도록 버블러의 온도를 변화시킴으로써 동작가능하게 제어될 수 있다. 온도 제어는 캐리어 가스의 유속 및/또는 질량 유량 제어기의 제어에 독립적으로 또는 협력하여 제어될 수 있다. 따라서, 각각의 다양한 제어 메커니즘들은 독립적으로, 또는 다양한 조합에 의해 사용될 수 있다.
다른 실시예에서, 증착 전구체들의 본성에 기인하여, 증착 전구체들은 광분해 또는 효소 또는 화학 촉매에 의해 저수조내에서 발산될 수 있다.
다른 실시예에서, 전구체 저수조는 전구체 케미컬의 혼합물을 포함할 수 있다. 혼합물은 일반적으로 적어도 하나의 금속 화합물을 포함한다. 전구체 케미컬들의 리간드들은 같은 리간드로 선택되어 리간드 교환시 혼합물의 화학적 본성에 있어서 변화가 없다. 대안으로, 리간드는 화학적성질이 서로에 대해 안정하여 리간드 교환이 발생하지 않도록 선택된다. 이 혼합물은 그 후 "혼합 액체"로써 직접 액체 주입(DLI) 시스템을 이용하여 전달되고 적절한 기화기 장치에서 기화되고 전구체들의 기체 혼합물로써 도관에 전달될 수 있다. 이 실시예에 의해 각각의 특유의 화학 전구체를 전달하는 데 필요한 개별 하드웨어를 중복하지 않고서 광범위한 복합 요소를 갖는 물질의 형성이 가능하다는 것은 명확하다. 본원 발명을 이용하여 증착될 수 있는 물질들의 예는 HfSiOx, HfSiON, HfSiN, TiAlN, TiSiN, TaAlN, TaSiN, HfTiOx, Ta-Ru 합금, 식 AxByCzO로 이루어진 4원소 금속 산화물, 식 AxByCzN로 이루어진 4원소 금속 질화물 등을 포함하나 이에 제한되지는 않는다.
또 다른 실시예에서, 전구체 저수조는 전구체 케미컬의 혼합물을 포함할 수 있다. 혼합물은 일반적으로 적어도 하나의 금속 화합물을 포함한다. 전구체 케미컬들의 리간드들은 같은 리간드로 선택되어 리간드 교환시 혼합물의 화학적 본성에 있어서 변화가 없다. 대안으로, 리간드는 화학적성질이 서로에 대해 안정하여 리간드 교환이 발생하지 않도록 선택된다. 이 혼합물은 그 후 "에어로졸"로써 주지된 수단을 이용하여 전달되고 적절한 기화기 장치에서 기화되고 전구체들의 기체 혼합물로써 도관에 전달될 수 있다. 이 실시예에 의해 각각의 특유의 화학 전구체를 전달하는 데 필요한 개별 하드웨어를 중복하지 않고서 광범위한 복합 요소를 갖는 물질의 형성이 가능하다는 것은 명확하다. 본원 발명을 이용하여 증착될 수 있는 물질들의 예는 HfSiOx, HfSiON, HfSiN, TiAlN, TiSiN, TaAlN, TaSiN, HfTiOx, Ta-Ru 합금, 식 AxByCzO로 이루어진 4원소 금속 산화물, 식 AxByCzN로 이루어진 4원소 금속 질화물 등을 포함하나 이에 제한되지는 않는다.
도 1-3을 참조하면, 증착 전구체들이 기화된 후, 이들은 챔버로의 증착 전구체 도관을 통해 매니폴드로 전달된다. 증착 전구체 도관들은 임의의 형태, 크기, 및 길이일 수 있다. 도관들은 금속, 플라스틱, 고분자, 또는 합금으로 제조될 수 있다. 일반적으로, 도관들은 매니폴드와 동일한 물질로 제조된다. 매니폴드와 유사하게, 도관들은 기화를 용이하게 하기 위해 절연되거나 가열될 수 있다. 선택적으로, 도관들 및 매니폴드는 분광분석에 의해(spectroscopically 또는 spectrometrically) 기체 농도 및 조성을 측정하는 샘플링 영역을 포함한다.
전구체들의 혼합은 중력 또는 가압된 가스에 의해 용이해질 수 있다. 혼합은 또한 전구체들을 도관을 통해 매니폴드로 강하게 주입하기 위해 플런저(plunger)와 같은 물리적 수단에 의해 얻어질 수도 있고, 전구체들은 동종의 증착 혼합물로 혼합될 수 있다. 일부 실시예에서, 도관은 매니폴드에 있는 T- 접합부에서 수렴되고 종결되며, 전구체들은 처리 챔버로의 전달 이전에 혼합된다.
대안으로, 도관들은 각각의 전구체들을 챔버의 근처 또는 챔버로의 주입부에 있는 혼합 영역 또는 공동으로 직접 수렴하여 전달될 수 있다. 일부 실시예에서, 원치 않는 불순물 및 기체들을 제거하거나 특정 불순물 및 기체를 고립시키기 위해 필터가 매니폴드에 삽입되거나 부착될 수 있다.
선택적으로, 매니폴드와 도관을 다시 참조하면, 내부적으로 삽입되거나 외부에 위치되는 가열 또는 냉각 요소가 혼합을 조정하고 막내의 미립자 및 불순물 형성을 최소화하기 위하여 사용될 수 있다.
매니폴드는 전구체들을 챔버로 전달하기 전에 전구체들의 혼합에 적절한 많은 형태를 취할 수 있다. 매니폴드는 접합, 가령 T-접합을 통해 기화기들에 연결된 단일 도관일 수 있다. 매니폴드는 혼합할 전구체들에 대해 약간의 체제 시간을 제공하기 위하여 공동 또는 저수조를 포함할 수 있다. 대안의 실시예에서, 매니폴 드는 그럼에도 불구하고 제거될 수 있고, 증착 전구체들은 이들이 챔버로 전달될 때 가스 주입부(가스 주입부가 주입기로 이루어지는 경우)로 직접 전달되어 가스 주입부에서 혼합된다.
도 4, 5A 및 5B를 참조하면, 일단 전구체들이 시스템(10)에서 기화되었다면, 이들은 하나 이상의 가스 주입부를 통해 챔버로 전달된다. 가스 주입부는 가스의 챔버로의 전달을 위해 다양한 형태를 취할 수 있다. 도 4에 도시된 일 실시예에서, 챔버는 단일 웨이퍼 챔버로 이루어진다. 도 4에 도시된 것처럼, 원자 층 증착 시스템은 일반적으로 웨이퍼 또는 기판(106)을 지지하기 위한 웨이퍼 지지부(104)를 하우징하는 처리 챔버(102)를 포함한다. 가스 매니폴드(14)는 하나 이상의 기화 시스템(15)을 가스 주입부(12)를 경유하여 처리 챔버(102)로 상호 연결한다. 일부 실시예에서, 가스 주입부(12)는 샤워헤드 주입기 또는 선형 주입기와 같은 주입기(108)로 기화된 가스를 전달한다. 바람직한 막을 제공하기 위하여 처리 챔버에서 조정가능한 다수의 주입기들을 이용하는 샤워헤드를 합체하는 것 또한 본원 발명의 범위 내에 있다. 하나의 특정 실시예가 도 4에 도시되어 있지만, 대안의 배열들이 본 발명의 범위내에 있다. 예를 들어, 도 2 및 3에 각각 도시된 기화 시스템(30 및 50)이 처리 챔버(102)와 함께 이용될 수 있다.
일부 실시예에서, 본원 발명은 일반적으로 도 5A 및 도5B에 도시된 것처럼 배치 처리 챔버와 함께 또는 미니 배치 챔버와 함께 이용될 수 있다. 배치 또는 미니 배치 챔버(202)에서, 보트(110)는 다수의 웨이퍼 또는 기판(112)을 하우징한다. 다수의 가스 주입부(114)가 이용되며 가스들은 도 5B에 도시된 것처럼 평행 또는 횡류(cross-flow) 방식으로 각각의 기판에 대하여 전달될 수 있다. 미니 배치 챔버는 발명의 명칭이 "Thermal Processing System and Configurable Vertical Chamber"인 PCT 특허출원 일련번호 PCT/US03/21575에 기재되어 있고, 이 문헌의 개시는 본원에 참고문헌으로 포함된다. 대안의 배치 및 미니 배치 챔버 구성, 가령 횡류를 제공하지 않는 챔버가 본원 발명과 함께 실시될 수도 있다.
전구체를 포함하는 증착 혼합물의 층이 기판상에 증착된다. 적절한 기판은 금속, 금속 합금, 유리, 고분자, 플라스틱, 유기 또는 무기 워크피스를 포함한다. 증착의 모드에 따라서, 증착 혼합물의 단층 또는 단층들이 기판상에 형성될 것이다. 바람직한 증착의 방법은 원자 층 증착이다. 그러나, 본 발명의 시스템 및 방법은 다른 증착 기법과 함께 이용될 수 있다.
다시 도 1-3을 참조하면, 증착 혼합물의 증착 이후에, 잉여 혼합물은 시스템 압력과 가스 유량을 제어하며 각각의 증착 처리 이후에 처리 챔버(비도시)의 빠른 정화를 보증하는 진공 펌프에 연결된 배기 포트를 통해 시스템으로부터 정화된다. 웨이퍼 지지부(비도시)는 증착 또는 어닐링 단계 동안 기판을 지지하고 가열하기 위해 사용될 수 있다. 웨이퍼 지지부는 일반적으로 내장된 가열 및 냉각 요소를 포함한다. 외부 가열기(비도시)가 또한 처리 챔버의 온도를 제어하기 위해 사용될 수 있다. 바람직하게는, 웨이퍼 지지부는 진공척 또는 정전척이다.
처리 챔버(비도시)는 처리에 사용되거나 챔버의 세정에 사용되는 다른 가스들을 절환가능하게 그리고 순차적으로 공급가능한 주입부를 구비한다. 반응 가스는 주입부를 경유하여 챔버로 전달될 수 있다. 적절한 반응가스는 산화 가스, 환 원 가스, 질화 가스, 또는 이들의 혼합물을 포함한다. 주입부를 통해 전달될 수 있는 다른 가스들은 캐리어 또는 불활성 가스, 또는 이들의 혼합물을 포함한다.
한 가지 바람직한 실시예에서, 기화된 증착 전구체들은 보다 균일한 막을 제공하고 막의 조성의 최대 제어를 허용하기 위하여 반응 챔버로의 도입 이전에 매니폴드에서 혼합된다. 그러나, 각각의 기화된 전구체를 주입기 등과 같은 가스 주입부로 개별적으로 전달하는 것이 가능하다. 다양한 기계적 실시예들이 본 발명의 교시의 관점에서 적절하며, 본 발명은 어떤 하나의 기계적 구성으로 제한되지 않는다. 본 발명은 하나의 단일층에 복합 요소를 갖는 막을 형성하기 위하여 서로 다른 화학적 요소를 구비하는 전구체들의 혼합이 처리 챔버내에 존재하도록 다양한 서로 다른 전구체들의 적어도 일부의 혼합이 발생한다는 점을 교시한다.
기판의 표면상에 증착 혼합물을 포함하는 단층을 처리 및/또는 단층과 반응하도록, 반응 가스가 주입부를 통해 처리 챔버로 도입될 수 있다. 반응 가스들은 가스 주입부에서 증착 전구체들과 순차적으로 또는 동시에 혼합되어 공급되거나 처리 챔버로 직접 공급될 수 있다.
다양한 반응 가스들이 어플리케이션에 따라 사용될 수 있다. 반응 가스가 산화 가스라면, 단일층이 산화된다. 반응 가스가 환원 가스라면, 단일층이 환원된다. 유사하게, 반응 가스가 질화 가스라면, 단일층이 질화된다. 적절한 산화 가스는 오존, 산소, 일중항산소, 삼중항산소, 물, 과산화물, 공기, 아산화질소, 산화질소, H2O2, 및 이들의 혼합물을 포함한다. 적절한 환원 가스는 수소를 포함한다. 적절한 질화 가스는 암모니아, 중수소화 암모니아, 15N-암모니아, 히드라진, 알킬 히드라진, 이산화질소, 아산화질소, 질소 라디컬, 산화질소, N-산화물, 아미드, 아민, 및 이들의 혼합물을 포함한다. 다른 실시예에서, 증착 전구체가 기판에 증착된 후에, 기판은 기판상의 단층을 질화, 산화, 환원, 또는 어닐링할 수 있는 제2의 처리 유닛으로 진공 상태에서 전달될 수 있다.
일례에서, ALD에 의해 HfSiN을 포함하는 복합 요소 막을 형성하기 위하여, 하프늄 및 실리콘 증착 전구체(예를 들어, 각각 TEMA-Hf 및 TEMA-Si)가 기화되고, 혼합되고, HfSiN을 형성하기 위하여 NH3와 같은 함질소 소스와 함께 처리 챔버로 전달된다("펄싱"된다고도 한다). Hf 및 Si 증착 전구체들이 상호 혼합되어 처리 챔버로 펄싱된 후, 정화되는 처리가 실행될 수도 있다. 질소 소스 가스(가령 NH3)가 펄싱되고 정화된다. 이러한 단계들은 HfSiN 막을 형성하는 하나의 ALD 싸이클을 형성한다. 다른 실시예에서, HfSiN 막을 형성하는 하나의 ALD 싸이클에서 추가적인 펄싱 및 정화 단계가 산화제, 가령 오존을 이용하여 수행된다.
일례에서, ALD 처리는 약 25 내지 800℃의 범위, 보다 일반적으로는 약 50 내지 600℃의 범위, 가장 일반적으로는 약 100 내지 500℃의 범위의 처리 온도에서 실행된다. 처리 챔버내의 압력은 약 0.001 mTorr 내지 600 Torr의 범위, 보다 일반적으로는 약 0.01 mTorr 내지 100 Torr의 범위, 가장 일반적으로는 약 0.1 mTorr 내지 10 Torr의 범위이다. 이 압력 범위는 펄싱 및 정화 단계 모두를 커버한다. 버블러내에 캐리어 가스가 사용되는 경우 이를 포함하는 처리 챔버내의 총 불활성 가스 유속은 일반적으로 약 0 내지 20,000 sccm, 보다 일반적으로는 약 0 내지 5,00 sccm의 범위이다.
선택적으로, 증착 전구체가 기판상에 증착된 후에, 기판은 기판상의 단층을 질화, 산화, 환원, 또는 어닐링할 수 있는 제2의 처리 유닛으로 진공 상태에서 전달될 수 있다.
적절한 하프늄의 소스는, 하프늄 디알킬 아미드, 하프늄 알콕사이드, 하프늄 디케토네이트, 하프늄 할라이드를 포함한다. 적절한 실리콘의 소스는 실리콘 할라이드, 실리콘 디알킬 아미드 또는 아민, 실리콘 알콕사이드, 실란, 디실란, 실록산, 아미노디실란, 및 디실란 할라이드를 포함한다. 전형적으로, 리간드 교환으로부터 발생하는 복잡함을 방지하기 위하여 공통의 리간드를 갖는 하프늄 및 실리콘의 소스가 선택된다. 발명의 명칭이 "Molecular Layer Deposition Of Thin Films With Mixed Components"인 PCT 특허출원 일련번호 PCT/US03/22236(참고 문헌으로 본원에 포함됨)에 개시된 공유 브릿지(covalently bridge)된 혼합 금속뿐만 아니라, 비공유 결합(non-covalent bond) 혼합 금속도 증착용 전구체들로써 사용될 수 있다. 비공유 결합의 유형은 수소 결합, 수여 결합(dative bond), 금속-금속 결합, 금속-π, 금속-π*, π-π 결합, 시그마-시그마 결합, 이온 결합, 반데르발스 상호작용, 소수성/친수성 상호작용, 극성 결합 또는 쌍극성 모멘트 상호작용을 포함한다. 불활성 가스의 소스는 아르곤, 질소, 불활성 가스, 또는 이들의 혼합물고 같은 캐리어 가스를 포함한다.
선택적으로, 희망하는 경우에는, 질화물 층을 형성 및 어닐링하기 위해 가열 에 의존하는 대신에, 질화가 광 또는 광, 열, 및 화학적 개시제(initiator)의 임의의 조합에 의해 촉진될 수 있다. 예를 들어, 특정 실시예에서, 직접 플라즈마, 원격 플라즈마, 다운스트림 플라즈마, 자외선 광자 에너지, 또는 이들의 조합이 질화를 촉진하기 위해 사용될 수 있다. 활성화 에너지 소스는 플라즈마, 광(light), 레이저, 라디칼, 및 마이크로파 에너지 소스, 및 이들의 혼합물을 포함한다.
개별 실시예에서 전술한 것처럼, 적절한 질소 소스는 암모니아, 아민, 아미드, 질소 가스, 질소 라디컬, N-산화물, 또는 이들의 혼합물을 포함한다.
또 다른 설명된 예에서, Hf(가령, TEMA-HF)를 포함하는 제1 전구체를 구비하는 제1 전구체 기화기가 제공된다. Si(가령, TEMA-Si)를 포함하는 제2 전구체를 구비하는 제2 전구체 기화기가 또한 제공된다. 기판 또는 웨이퍼의 "배치(batch)"(예, 50개)는 처리 챔버내의 기판 홀더상에 위치된다. 이 예에서, 처리 챔버는 당해 기술분야에서 주지된 수직 노(furnace) 시스템의 일부이다. 처리 챔버는 배기되고, 기판들은 미리정의된 처리 온도로 가열되었다. 전술한 것처럼, 처리 온도는 바람직하게는 약 50 내지 800℃이며, 보다 바람직하게는 약 100 내지 500℃이다. 이 예에 대하여, 원하는 온도는 275℃였다. 제1 및 제2 전구체는 가스를 저수조들을 통해 버블링함으로써 기화되어, 혼합되고 처리 챔버로 보내지는 제1 및 제2 기화된 전구체들을 형성한다. 혼합된 제1 및 제2 기화된 전구체들은 주입기와 같은 적절한 가스 주입부를 통해 기판상으로 보내지며 양 전구체들(가령 Hf-화합물과 Si-화합물)의 화학적 요소들로 이루어진 단층을 형성한다. 혼합된 제1 및 제2 전구체들의 잉여량은 적절한 수단에 의해 제거되고, 오존의 펄스는 처리 챔버로 유동될 수 있어서 혼합된 제1 및 제2 전구체들의 단층과 반응하고 동종의 하프늄 실리케이트(가령 HfxSi1-xO2)의 층을 형성한다. 본 발명은 구체적으로 층 내의 HfO2 및 SiO2의 "혼합물"로 되는 것은 아니라는 점에 주의하여야 한다. 이러한 시퀀스는 원하는 두께가 성취될 때까지 반복된다. 이러한 방식으로, "공동-주입" 방법이 다수의 기판에 동시에 성공적으로 적용되었다.
본 발명에 따라서, 서로 다른 막 두께 및 조성을 갖는 다수의 층이 증착될 수 있다. SiO2, HfO2, HfSiOx, HfN, SiN, SiON 및 HfSiON의 형성을 기재하는 특정 예들이 본원에서 도시되었지만, 당해 기술분야에서 통상의 지식을 가진 자에게는 본원 방법 및 ALD 시스템이 금속, 금속 합금, 혼합된 금속 산화물, 실리케이트, 질화물, 산질화물, 또는 이들의 조합을 포함하는 박막의 임의의 두께, 조성, 또는 형태를 생성하는 데 이용될 수 있음이 명백할 것이다.
본 발명의 특정 실시예의 전술한 기재는 설명 및 기재의 목적을 위해 제공되어 왔다. 이들은 개시된 정확한 형태로 본 발명을 소진하거나 제한하려는 의도가 아니며, 명백히 많은 수정, 실시예, 및 변형이 상기 교시의 관점에서 가능하다. 본 발명의 범위는 본원에 첨부된 특허청구범위 및 이들의 균등물에 의해 정의되어야 한다.

Claims (18)

  1. 기판의 표면상에 막을 형성하는 방법으로서,
    두 개 이상의 전구체들을 직접 액체 주입 및 버블러 기화(bubbler vaporization) 중 하나 또는 조합에 의해 기화하는 단계 - 각각의 전구체는 하나 이상의 금속 또는 준금속 원소를 포함함 -;
    상기 두 개 이상의 전구체들을 처리 챔버로 전달하는 단계 - 상기 전구체들은 처리 챔버에 함께 존재함 -;
    금속 또는 준금속 원소를 함유하는 단층(monolayer)을 기판의 표면상에 형성하는 단계;
    상기 처리 챔버로부터 상기 전구체들의 잉여 혼합물을 제거하는 단계;
    제1 반응제(reactant)을 상기 처리 챔버로 전달하고 금속 함유 물질을 형성하도록 상기 제1 반응제를 상기 혼합된 전구체들의 상기 단층과 반응시키는 단계;
    상기 처리 챔버로부터 상기 제1 반응제의 잉여량을 제거하는 단계;
    제2 반응제를 상기 처리 챔버로 전달하고 금속-(제1 반응제)-(제2 반응제) 물질을 형성하도록 상기 제2 반응제를 상기 금속 함유 물질의 단층과 반응시키는 단계;
    원하는 막의 두께가 달성될 때까지 상기 순서를 반복하는 단계를 포함하는 막 형성 방법.
  2. 제1항에 있어서, 상기 기판 표면은 반도체 물질, 복합 반도체 물질, 실리콘, 플라스틱, 고분자, 금속, 합금, 유기물, 무기물, 및 이들의 혼합물로 이루어진 군으로부터 선택되는, 막 형성 방법.
  3. 제1항에 있어서, 상기 제1 전구체 및 상기 제2 전구체 각각은 식
    M(L)x
    을 가지며, 여기서, M은 Ti, Zr, Hf, Ta, W, Mo, Ni, Si, Cr, Y, La, C, Nb, Zn, Fe, Cu, Al, Sn, Ce, Pr, Sm, Eu, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ga, In, Ru, Mn, Sr, Ba, Ca, V, Co, Os, Rh, Ir, Pd, Pt, Bi, Sn, Pb, Tl, Ge 및 이들의 혼합물로 이루어진 군으로부터 선택된 금속이며;
    L은 아민, 아미드, 알콕사이드, 할로겐, 수소화물, 알킬, 아지드화물, 질산염, 아질산염, 사이클로펜타디에닐, 카르보닐, 카르복시산염, 디케토네이트, 아세타미디네이트(acetamidinate), 케토이미드(ketoimide), 디이미드(diimide), 알켄(alkene), 알킨(alkyne), 및 이들의 대체되는 유사종, 및 이들의 조합으로 이루어진 군으로부터 선택된 리간드이며;
    x는 M에 대한 원자가 수(valence number) 이하의 정수인, 막 형성 방법.
  4. 제1항에 있어서, 상기 제1 반응제 또는 제2 반응제는 암모니아, 중수소화 암모니아, 15N-암모니아, 아민, 아미드, 히드라진, 알킬 히드라진, 질소 가스, 산화질 소, 아산화질소, 질소 라디컬, N-산화물, 원자 질소 및 이들의 혼합물로 이루어진 군으로부터 선택된, 막 형성 방법.
  5. 제1항에 있어서, 상기 제1 반응제 또는 제2 반응제는 오존, 산소, 일중항산소, 삼중항산소, 물, 과산화물, 공기, 아산화질소, 산화질소, H2O2, 및 이들의 혼합물로 이루어진 군으로부터 선택된, 막 형성 방법.
  6. 제1항에 있어서, 상기 직접 액체 주입 시스템에서 기화하는 단계는,
    액체 상태의 상기 전구체들을 상기 전구체들이 기체 상태로 증발하게 되는 기화기 챔버로 전달하는 단계를 더 포함하는, 막 형성 방법.
  7. 제1항에 있어서, 상기 버블러에서 기화하는 단계는,
    상기 액체 상태의 전구체로 충진된 콘테이너를 통해 캐리어 가스를 버블링하여 상기 캐리어 가스를 상기 전구체의 증기로 포화시키는 단계; 및
    상기 포화된 캐리어 가스를 상기 처리 챔버로 전달하는 단계를 더 포함하는, 막 형성 방법.
  8. 제1항에 있어서, 상기 전구체들은 단일 금속 함유 케미컬(chemical)인, 막 형성 방법.
  9. 제1항에 있어서, 상기 전구체들은 금속 함유 케미컬의 혼합물인, 막 형성 방법.
  10. 제1항에 있어서, 상기 방법은 약 20 내지 800℃의 범위의 온도에서 실행되는, 막 형성 방법.
  11. 제1항에 있어서, 상기 방법은 상기 처리 챔버가 약 0.001 mTorr 내지 600 Torr의 범위의 압력인 상태에서 실행되는, 막 형성 방법.
  12. 제1항에 있어서, 임의의 단일 단계 동안에 상기 전구체들, 질화 반응제, 산화 반응제 및 부가적인 정화 가스들을 전달하는 것을 포함하는 상기 처리 챔버로의 총 가스 유속이 약 0 내지 20,000 sccm인 범위에서 상기 방법이 실행되는, 막 형성 방법.
  13. 제1항에 있어서, 상기 처리 챔버는 단일 기판상에서 상기 막 형성 방법을 실행하는 방식으로 구성되어 있는, 막 형성 방법.
  14. 제1항에 있어서, 상기 처리 챔버는 다수의 기판상에서 상기 막 형성 방법을 실행하는 방식으로 구성되어 있는, 막 형성 방법.
  15. 제14항에 있어서, 상기 다수의 기판들은 1 내지 200개인, 막 형성 방법.
  16. 기판의 표면상에 막을 형성하는 방법으로서,
    두 개 이상의 전구체로서, 각각의 전구체가 하나 이상의 상이한 화학 성분을 함유하며, 원하는 양의 상기 전구체들이 전구체가 액체 상태인 동안 제어되며, 상기 원하는 양의 상기 전구체들은 직접 액체 주입 또는 버블러 시스템 중 하나 또는 조합에 의해 기체 상태로 변환되며, 상기 기체 상태의 전구체들은 함께 처리 챔버에 전달되어 상기 기판의 표면상에 단층을 형성하며, 상기 단층은 각각의 개별 화학 성분을 포함하는 것을 특징으로 하는, 막 형성 방법.
  17. 원자 층 증착(ALD)용 시스템으로서,
    하나 이상의 증착 전구체들을 하나 이상의 기화 챔버들로 주입하도록 구성된 하나 이상의 직접 액체 주입 시스템;
    하나 이상의 증착 전구체들을 기화하도록 구성된 하나 이상의 버블러 시스템; 및
    상기 직접 액체 주입 시스템 및 상기 버블러 시스템에 연결된 처리 챔버 - 상기 처리 챔버는 상기 직접 액체 주입 및 버블러 시스템으로부터 증착 전구체들을 수용하도록 구성되며 ALD 처리를 실행하도록 적응됨 - 를 포함하는 원자 층 증착(ALD)용 시스템.
  18. 원자 층 증착(ALD)용 시스템으로서,
    하나 이상의 증착 전구체들을 기화하도록 구성된 제1 버블러 시스템;
    하나 이상의 증착 전구체들을 기화하도록 구성된 제2 버블러 시스템; 및
    상기 제1 및 제2 버블러 시스템에 연결된 처리 챔버 - 상기 처리 챔버는 상기 제1 및 제2 버블러 시스템으로부터 증착 전구체들을 수용하도록 구성되며 ALD 처리를 실행하도록 적응됨 - 를 포함하는 원자 층 증착(ALD)용 시스템.
KR1020077006011A 2004-08-16 2005-08-16 복합 요소 유전막을 형성하기 위한 직접 액체 주입 시스템및 방법 KR20070044492A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US60218904P 2004-08-16 2004-08-16
US60/602,189 2004-08-16

Publications (1)

Publication Number Publication Date
KR20070044492A true KR20070044492A (ko) 2007-04-27

Family

ID=35968111

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077006011A KR20070044492A (ko) 2004-08-16 2005-08-16 복합 요소 유전막을 형성하기 위한 직접 액체 주입 시스템및 방법

Country Status (7)

Country Link
US (1) US20060110930A1 (ko)
EP (1) EP1779419A4 (ko)
JP (1) JP2008510321A (ko)
KR (1) KR20070044492A (ko)
CN (1) CN101040371A (ko)
TW (1) TW200625431A (ko)
WO (1) WO2006023501A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101521198B1 (ko) * 2007-07-24 2015-05-18 시그마 알드리치 컴퍼니 엘엘씨 화학적 상 증착법에 의해 금속-함유 박막을 형성하는 방법

Families Citing this family (221)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7547631B2 (en) * 2006-07-31 2009-06-16 Rohm And Haas Electronic Materials Llc Organometallic compounds
TWI398541B (zh) 2007-06-05 2013-06-11 羅門哈斯電子材料有限公司 有機金屬化合物
US8142847B2 (en) * 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
TWI382987B (zh) * 2007-07-24 2013-01-21 Sigma Aldrich Co 應用於化學相沉積製程的有機金屬前驅物
SG152203A1 (en) * 2007-10-31 2009-05-29 Advanced Tech Materials Amorphous ge/te deposition process
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
DE102008015270A1 (de) * 2008-03-20 2009-10-15 Qimonda Ag Herstellungsverfahren einer leitfähigen Schicht für eine integrierte Schaltung
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
KR100953736B1 (ko) * 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
EP2339048B1 (en) * 2009-09-14 2016-12-07 Rohm and Haas Electronic Materials, L.L.C. Method for depositing organometallic compounds
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102352491A (zh) * 2011-11-01 2012-02-15 嘉兴科民电子设备技术有限公司 一种用于原子层沉积设备的进气方法
CN102392228A (zh) * 2011-11-01 2012-03-28 嘉兴科民电子设备技术有限公司 一种用于原子层沉积设备的进气方法
KR20140096113A (ko) * 2011-11-10 2014-08-04 쌩-고벵 크리스톡스 에 드테끄퇴르 반도체 결정 물질의 형성에 사용하기 위한 시스템
US8440537B1 (en) * 2011-11-11 2013-05-14 Intermolecular, Inc. Adsorption site blocking method for co-doping ALD films
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
WO2014039597A2 (en) * 2012-09-07 2014-03-13 Linde Aktiengesellschaft Direct liquid injection of solution based precursors for atomic layer deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103305808A (zh) * 2013-06-13 2013-09-18 林嘉佑 二氧化硅薄膜的生产设备及其生产方法
US9245742B2 (en) 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3173507A1 (de) * 2015-11-25 2017-05-31 Umicore AG & Co. KG Verfahren zur metallorganischen gasphasenabscheidung unter verwendung von lösungen von indiumalkylverbindungen in kohlenwasserstoffen
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11193206B2 (en) * 2017-03-15 2021-12-07 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US11081337B2 (en) * 2017-03-15 2021-08-03 Versum Materials U.S., LLC Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
US11741223B2 (en) 2019-10-09 2023-08-29 International Business Machines Corporation Validation of network host in email
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5702532A (en) * 1995-05-31 1997-12-30 Hughes Aircraft Company MOCVD reactor system for indium antimonide epitaxial material
US6342445B1 (en) * 2000-05-15 2002-01-29 Micron Technology, Inc. Method for fabricating an SrRuO3 film
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US6918960B2 (en) * 2001-11-28 2005-07-19 Micron Technology, Inc. CVD of PtRh with good adhesion and morphology
US6552209B1 (en) * 2002-06-24 2003-04-22 Air Products And Chemicals, Inc. Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films
JP2005533390A (ja) * 2002-07-18 2005-11-04 アヴィザ テクノロジー インコーポレイテッド 混合成分を有する薄膜の分子層蒸着
JP2008502805A (ja) * 2004-06-15 2008-01-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101521198B1 (ko) * 2007-07-24 2015-05-18 시그마 알드리치 컴퍼니 엘엘씨 화학적 상 증착법에 의해 금속-함유 박막을 형성하는 방법

Also Published As

Publication number Publication date
US20060110930A1 (en) 2006-05-25
WO2006023501A3 (en) 2006-11-30
CN101040371A (zh) 2007-09-19
TW200625431A (en) 2006-07-16
WO2006023501A2 (en) 2006-03-02
JP2008510321A (ja) 2008-04-03
EP1779419A4 (en) 2009-04-08
EP1779419A2 (en) 2007-05-02

Similar Documents

Publication Publication Date Title
KR20070044492A (ko) 복합 요소 유전막을 형성하기 위한 직접 액체 주입 시스템및 방법
US7470470B2 (en) System and method for forming multi-component dielectric films
US20050255243A1 (en) System and method for forming multi-component dielectric films
JP2008502805A (ja) 多成分誘電体膜を形成するためのシステム及び方法
US7531467B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US7723245B2 (en) Method for manufacturing semiconductor device, and substrate processing apparatus
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
EP1470264B1 (en) Methods for silicon oxide and oxynitride deposition using single wafer low pressure cvd
US7482286B2 (en) Method for forming dielectric or metallic films
US20030134038A1 (en) Method and apparatus for layer by layer deposition of thin films
US20060178019A1 (en) Low temperature deposition of silicon oxides and oxynitrides
WO2007019449A1 (en) In-situ atomic layer deposition
WO2001045158A1 (en) Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR20060086241A (ko) 플라즈마 ald 박막증착방법
WO2004010469A2 (en) Atomic layer deposition of multi-metallic precursors
KR20070028858A (ko) 인시튜 질화물(in-situ nitride) 박막증착방법
TW201615879A (zh) 高溫二氧化矽原子層沉積技術
TWI389219B (zh) 形成介電或金屬薄膜的方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid