JP2006310801A - 高誘電率膜上のシリコンオキサイドキャップ - Google Patents

高誘電率膜上のシリコンオキサイドキャップ Download PDF

Info

Publication number
JP2006310801A
JP2006310801A JP2006075410A JP2006075410A JP2006310801A JP 2006310801 A JP2006310801 A JP 2006310801A JP 2006075410 A JP2006075410 A JP 2006075410A JP 2006075410 A JP2006075410 A JP 2006075410A JP 2006310801 A JP2006310801 A JP 2006310801A
Authority
JP
Japan
Prior art keywords
layer
silicon oxide
oxide
silicon
gate insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006075410A
Other languages
English (en)
Inventor
Jan Willem Maes
ウィレム メース ヤン
Hilde D Witte
デ ウィッテ ヒルデ
Christophe Pomarede
ポマレード クリストフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of JP2006310801A publication Critical patent/JP2006310801A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

【課題】高kゲート絶縁膜上のドープされたシリコンゲート間に短絡をもたらす欠陥、トラッピングを回避する、前記高kゲート絶縁膜および前記高kゲート絶縁膜上のシリコンオキサイド膜の製造法を提供する。
【解決手段】原子層堆積プロセスを用いて基板上に高kゲート絶縁材料を堆積することを包含する、半導体基板上に集積回路構造を形成するための方法。シリコンオキサイドキャッピング層は、高速熱化学蒸着プロセスにおいてゲート絶縁材料上に堆積される。ゲート電極は、シリコンオキサイドキャッピング層上に形成される。
【選択図】図8

Description

発明の分野
本発明は、概して、集積回路製造において半導体層を形成することに関し、より詳細には、高誘電率材料上へのシリコンオキサイドキャップ層の形成に関する。
発明の背景
薄膜トランジスタ(TFT)は、基本的な集積回路コンポーネントである。TFTは、薄いゲート絶縁層により半導体層から隔てられたゲート電極を典型的に有する層状構造物である。最新技術のトランジスタに対してよく用いられる頭字語は、MOS(金属−酸化物−シリコン)であるが、ゲート電極に一般に好まれる材料は、長い間、金属よりもむしろシリコンだった。他の利点の中でも、シリコンゲート電極は、高温プロセスに耐えることができ、且つ、トランジスタを完成させるために使用されるセルフアラインドドーピングプロセス(self−aligned doping processes)を可能にし、それゆえ高価なマスキング工程を排除することができる。現在、ゲート電極として、多くの金属材料がシリコンと置換するために調査されている;この置換は、仕事関数をトランジスタのチャンネル領域に合わせることを可能にし、またデバイススピードを高める。
従来のゲート絶縁膜は、質の高い二酸化ケイ素(SiO)、シリコンオキシナイトライド(SiON)又は酸化物−窒化物−酸化物(ONO)三層で形成され、典型的にゲート酸化物層と呼ばれる。しかし、超薄ゲート酸化物(例えば、5nmよりも薄い)は、ピンホール、チャージトラッピング状態(charge trapping state)及びホットキャリア注入効果に対する感受性を含む高欠陥密度(defect densities)を示すことが見出された。このような高欠陥密度は、ゲート絶縁膜を通る漏洩電流を導く。これは、0.25μm未満のゲートスペーシング(「サブクオーターミクロンテクノロジー」)を用いた回路設計にとって急速なデバイスの故障をもたらす。
実験室の条件下でのケアを用いて欠陥密度をコントロールすることができるが、工業的な大量生産の条件下でこのようなコントロールを達成することは困難である。さらに、酸化物の完全性が完全に維持されるとしても、量子力学的効果は、ゲート酸化物のスケーリングへの基本的な限界を設定する。高電界強度では、直接的なトンネリングが、ファウラー−ノードハイム(Fowler−Nordheim)トンネリングを支配し、スケーリングの限界を大きく決定する。これらのスケーリング限界は、論理回路に対して約2nm、また、ダイナミックランダムアクセスメモリー(DRAM)回路におけるより漏洩感受性のメモリーアレイに対して約3nmで概算されてきた。例えば、非特許文献1を参照のこと。
より高い誘電率の材料をゲート絶縁膜に組み込むことは、さらなるデバイスのスケーリングへの扉を開く。より高い誘電率材料は、より薄い二酸化ケイ素層と同様のキャパシタンスを示すことができ、より低い実効酸化膜厚(equivalent oxide thickness)が、トンネル制限挙動(tunnel−limited behavior)を伴わないで達成され得る。窒化シリコン(Si)は、SiOよりもわずかに高い誘電率を有し、また、優れた拡散バリア特性を示し、ホウ素の浸透に抵抗するが、乏しいインターフェイス特性を示す。酸化アルミニウム(Al)、酸化ジルコニウム(ZrO)、ハフニウムベースの酸化物(HfO、AlHfO、HfSiO、HfSiON)、バリウムストロンチウムチタネート(BST)、ストロンチウムビスマスタンタレート(SBT)、酸化タンタル(Ta)、ランタニド酸化物等を含む、更により高い誘電率を有するよりエキゾチックな材料は、また、更なるデバイススケーリングを可能にするために調査されている。このような誘電体(約7より高い誘電率を有する)は、ここに、「高k誘電体」又は「高k材料」と称される。
同様の高品質の薄い誘電層は、集積回路製造の他の状況で望ましい。多くの設計は、適当なデータ保存及び検索のための特定の最小キャパシタンスを示すため、メモリーアレイにおける集積されたキャパシタを要求する。所定のメモリーセルスペースに対するキャパシタンスを増大させるための幾らかの努力は、高誘電率によって特徴付けられる物質(例えば、上記に挙げられたもの)の使用に焦点が合わせられてきた。
Hu et al., Thin Gate Oxides Promise High Reliability, Semiconductor International (July 1998), pages 215−222
本発明の要旨
高k材料は、量子効果を導入することなくゲート絶縁膜の厚さを有利に低減させ得るが、電極材料(例えば、ドープされたシリコン又はシリコンゲルマニウム合金)が現在調査中の高k材料の多くの上に堆積されるとき、インターフェイスの問題(例えば、反応及びトラッピング効果)がしばしば生じ、欠陥のあるデバイスが結果的にもたらされる。例えば、HfO層が、約620℃で堆積された従来の低圧化学蒸着法(LPCVD)多結晶シリコン(「ポリシリコン」)と組み合わされる場合、電気的に短絡されたデバイスがしばしば得られる。さらに、HfO−ポリシリコンインターフェイスでのトラッピング効果は、電気的欠陥を導き得る。これらの問題を回避するため、ゲート絶縁膜は、電極堆積前に中間層(intermediate layer)でキャップされ得る。
前記に従い、本発明の1つの局面に従って、半導体基板上に集積回路構造を形成するための方法は、半導体基板をプロセッシングチャンバ中へロードすることを包含する。この方法は、さらに、ゲート絶縁膜を、原子層堆積プロセスを用いて半導体基板上に堆積させることを包含する。ゲート絶縁膜は、高k材料を含む。該方法は、さらに、高速熱化学蒸着プロセスにおいて、ゲート絶縁材料上にシリコンオキサイド層を堆積させることを包含する。1つの実施形態において、ケイ素供給源ガス及び酸素供給源ガスとしてそれぞれSiH及びNOが使用される。該方法は、さらに、シリコンオキサイド層上にゲート電極を形成することを包含する。該方法は、さらに、プロセッシングチャンバから半導体基板を取り去ること(removing)を包含する。
本発明の別の局面において、方法は、高k材料を提供することを包含する。この方法は、さらに、高速熱化学蒸着プロセスにおいて高k材料上にシリコンオキサイドを堆積させることを包含する。この方法は、さらに、シリコンオキサイド上にゲート電極を形成することを包含する。
本発明の別の局面において、薄膜トランジスタ装置が、半導体基板を備える。この装置は、さらに、半導体基板上に配置されるゲート絶縁材料を備える。このゲート絶縁材料は、約7より大きい誘電率を有する。該装置は、さらに、ゲート絶縁材料上に配置されるシリコンオキサイドキャッピング層を備える。該装置は、さらに、キャッピング層上に形成されるゲート電極を備える。
本発明の別の局面において、半導体装置は、高kゲート絶縁材料とゲート電極との間に位置する酸化物キャッピング層(oxide capping layer)を備える。
好ましい実施形態の詳細な説明
上述したように、高k材料は、不都合な量子効果を導入することなく、有効電気ゲート絶縁膜(effective electrical gate dielectric)の厚さを有利に低減させる。高k層は、原子層堆積(ALD)(これは、化学的自己制御プロセス(chemically self−limiting process)である)によって堆積され得、それにより、反応前駆体の交互パルス(alternated pulse)が基板を飽和し、パルス毎に材料の1以下の単層を残す。温度は、反応物に対する凝縮レベルより高く且つ熱分解レベルより低く維持される。前駆体は、自己飽和反応(self−saturating reactions)を確実にするよう選択され、なぜなら、1つのパルスにおいて吸着される層は、同一のパルスの気相反応物と反応性のない表面末端を残すからである。異なる反応物の後のパルスは、前の末端と反応し、継続した堆積を可能にする。従って、交互パルスの各サイクルは、所望の材料の約1以下の分子層を残す。ALDタイプのプロセスの原理は、T.Suntola(例えば、Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601−663、Elsevier Science B.V. 1994)において示される。
例えば、高温における高い安定性及び低い漏洩電流を有する薄い酸化ハフニウム(HfO)膜が、約300℃でのHfCl/HO化学を用いて、ALDにより堆積され得る。20〜100サイクルのHfCl/HOは、約1nmと約5nmの間の厚さを有するHfOゲートスタックをもたらす。同様に、ハフニウムシリケート膜は、3−アミノプロピルトリエトキシシラン(APTES)、オゾン(O)、ハフニウムクロライド(HfCl)及び水(HO)を300℃にて連続的にパルスすることによるALDによって堆積され得る。
しかしながら、上記で説明したように、ドープされたシリコン又はシリコンゲルマニウム合金のような電極材料が高k材料上に堆積される場合、反応及びトラッピング効果のようなインターフェイスの問題がしばしば生じ、それゆえ欠陥デバイスが結果的にもたらされる。これらの集積化の困難性は、シリコン電極層の堆積前に、薄い保護層を用いて高k材料をキャッピングすることにより有利に低減され得る。例示的な高k材料としては、これらに限定されないが、酸化アルミニウム(Al)、酸化ジルコニウム(ZrO)、ハフニウムベースの酸化物(HfO、AlHfO、HfSiO、HfSiON)、バリウムストロンチウムチタネート(BST)、ストロンチウムビスマスタンタレート(SBT)、酸化タンタル(Ta)、種々のランタニド酸化物等が挙げられる。例示的なランタニド酸化物としては、酸化ランタン、酸化ネオジム及び二酸化セリウムが挙げられる。一般的に、高k材料としては、4族及び5族金属の酸化物が挙げられる。
最初に、本書に記載される例示的実施形態は、トランジスタゲートスタックに関連して表されているが、高k材料を薄い保護層でキャッピングする原理は、層が高k材料上に堆積される様々な種々の状況(context)に適用され得ることに留意されたい。このような状況の例は、ランダムアクセスメモリー(RAM)アレイにおける高密度メモリーセルのために提案されるような、高k誘電体上へのキャパシタ電極の形成である。同様に、本書に記載される方法は、高k材料上にシリコン含有層を堆積するのに特に有利であるが、本書に記載される原理及び利点は、高k材料上への金属電極の堆積においても使用され得る。
リアクタ構造
キャッピング層をより詳細に説明する前に、化学蒸着法(CVD)によりシリコン含有層を堆積するための好ましいリアクタをまず下記に示す。別個に図示されないが、本書に記載されるALDプロセスは、ASM Microchemistry Oy(エスポー、フィンランド)から市販されているPulsarTM2000ALCVDTMリアクタにおいて実施され得る。
本書に開示される例示的実施形態は、単一基板、水平フローコールドウォールリアクタとの関連で示される。一般的に、枚葉式プロセッシングツールは、伝統的なバッチシステムよりも高いプロセスコントロール及び均一性を示すが、少数の基板しか一度に処理できないので、スループットを犠牲にする。単一パス水平フロー設計は、また、低滞留時間での反応物ガスの層流を可能にし、これが順に連続的なプロセシングを促進し、一方、互いの及びチャンバ表面との反応物の相互作用を最小限に抑える。従って、他の利点の中で、層流は、互いに不利に反応し得る反応物を連続的に流すこと(flowing)を可能にする。回避される反応としては、例えば、酸素及び水素保有の反応物によって引き起こされる高い発熱性の又は爆発性の反応、並びに、チャンバの微粒子汚染を生じる反応が挙げられる。
図1は、例示的実施形態に従って構築されるクオーツプロセス又は反応チャンバ12を含むCVDリアクタ10を示し、これは、本書に記載される方法を用いて使用され得る。一度に単一の基板上にシリコンのエピタキシャル堆積を最適化するよう当初設計されたが、図示されるリアクタ10の優れたプロセシング制御は、多くの異なる材料のCVDにおいて有用性を有する。更に、図示されるリアクタ10は、同一のチャンバ12における連続的な複数の処理ステップを安全且つクリーンに成し遂げ得る。リアクタ10の基本構造は、ASM America Inc.(フェニックス、アリゾナ州)から商品名Epsilon(登録商標)として商業的に入手可能である。
複数の放射熱の供給源は、クオーツチャンバウォールによる明らかな吸収なくチャンバ12中に熱エネルギーを供給するためにチャンバ12の外側に支持される。本書に開示される例示的実施形態は、半導体ウェハのプロセシングのための「コールドウォール」CVDリアクタとの関連で説明されるが、ここで説明されるプロセシング方法は、誘導性(inductive)又は抵抗性の加熱を使用するものなどの他の加熱/冷却システムと組み合わせて使用され得ることが理解される。
図示される放射熱供給源は、細長いチューブタイプの放射加熱エレメント13の上部加熱アセンブリを含む。上部加熱エレメント13は、好ましくは、空間をあけて平行関係で配置され、下に位置する反応チャンバ12を通る反応物ガスフロー経路と実質的に平行である。下部加熱アセンブリは、反応チャンバ12の下に同様に細長いチューブタイプの放射加熱エレメント14を備え、好ましくは上部加熱エレメント13に対して横向きに方向付けられている。望ましくは、放射熱の一部は、上部および下部の加熱エレメント13、14各々の上下の粗い鏡面反射プレート(示されていない)によって、チャンバ12中に広く反射される。さらに、複数のスポットランプ15は、反応チャンバ12の底を通って延びるコールドサポート構造によって作られる熱シンク効果(heat sink effect)を打ち消すために、基板支持構造(以下に説明される)の下側に集中した熱を供給する。
細長いチューブタイプの加熱エレメント13、14の各々は、好ましくは、ヨウ素などのハロゲンガスを含む透明のクォーツエンベロープ(quartz envelope)を有する高強度タングステンフィラメントランプである。このようなランプは、明らかな吸収を伴わず、反応チャンバ12のウォールを透過した全スペクトルの放射熱エネルギーを作り出す。半導体プロセシング装置の技術分野において知られるように、加熱エレメント13、14及びスポットランプ15のパワーは独立的に若しくは温度センサーに対応してグループ化されたゾーンで調節され得る。
ワークピース又は基板(好ましくはシリコンウェハ16を含む)は、反応チャンバ12中の基板サポート構造18上に支持されることが示される。図示された実施形態の基板は単結晶シリコンウェハであるが、「基板」という用語はその上に層が堆積される如何なる表面をも広く示すことが理解される。さらに、ここで説明される原理及び利点は、多くの他のタイプの基板(フラットパネルディスプレイにおいて使用されるもの等のガラス基板をこれに限定することなく含む)上に層を堆積するのに十分同等に適用される。
図示された支持構造18は基板ホルダ20(この上にウェハ16が載せられる)及びサポートスパイダー22を含む。スパイダー22はシャフト24に取付けられ、チャンバの下壁からぶら下がっているチューブ26を通って下方に延びる。好ましくは、チューブ26はプロセシング時に流動し得るパージ又はスイープガスの供給源と連通しており、プロセスガスがチャンバ12の下部セクションへ漏れるのを抑制する。
複数の温度センサーはウェハ16の近傍に位置する。温度センサーは、例えば光高温計または熱電対など、種々の形態のいずれもとり得る。温度センサーの数および位置は、温度の均一性を促進するよう選択される。好ましくは、温度センサーは、直接的又は間接的にウェハ近傍に位置する温度を感知する。
図示される実施形態において、温度センサーは、第一の即ち中央熱電対28を含む熱電対を備え、基板ホルダ20下に適切な方法でぶら下がっている。図示された中央熱電対28は基板ホルダ20近傍のスパイダー22を通る。リアクタ10は更に複数の第二の即ち周囲の熱電対を備え、これはまたウェハ16の近傍であり、前縁又は前方の熱電対29、後縁又は後方の熱電対30および側方熱電対(示されていない)を備える。周囲の熱電対の各々は、基板ホルダ20及びウェハ16を囲むスリップリング32中に収容される。中央及び周囲の熱電対の各々は、温度コントローラーに接続され、これはプログラムされた設定値、制御アルゴリズム、及び熱電対の示度に反応して加熱エレメント13、14及びスポットランプ15のパワーを設定する。
周辺熱電対の収容に加えて、スリップリング32は、ウェハエッジにおけるより大きな熱の損失または吸収への傾向(このようなエッジ付近の部分において体積に対する表面積のより大きな割合によって起こることが知られている現象)を補償するように、高温プロセシング時に放射熱を吸収または放出する。エッジの損失を最小限に抑えることによって、スリップリング32はウェハ16にわたって不均一な放射温度の危険性を軽減することができる。スリップリング32は任意の適切な手段によって吊り下げられ得る。例えば、図示されたスリップリング32は、フロントチャンバデバイダ36及びリアチャンバデバイダ38からぶら下がるエルボー34上に載せられる。デバイダ36、38は、望ましくはクォーツで形成される。配置によっては、リアデバイダ38は省略され得る。
図示された反応チャンバ12は、反応物およびキャリアガスの注入のためのインレットポート40を含み、ウェハ16はインレットポート40を通しても受容され得る。アウトレットポート42は、チャンバ12の反対側にあり、インレットポート40およびアウトレットポート42の間に位置するウェハサポート構造18を伴う。
インレットコンポーネント50は、反応チャンバ12に取付けられ、インレットポート40を囲むように適応され、水平に細長いスロット52を含み、そこを通ってウェハ16が挿入され得る。一般的に、垂直のインレット54は遠隔の供給源からガスを受け取り(これは下記により十分に説明される)、このようにガスとスロット52およびインレットポート40を連通する。インレット54は、米国特許第5,221,556号(Hawkinsらに発行された)中に記載されている、または、米国特許第6,093,252号(Wengertらに発行された)中の図21−26に関して説明されているようにガス注入器を含み得、これらの開示は参照によって援用される。このような注入器は枚葉式リアクタのためのガスフローの均一性を最大限にするように設計される。
アウトレットコンポーネント56は、排気口58がアウトレットポート42と一直線に並び、排気導管(exhaust conduits)59に導くように、同様にプロセスチャンバ12に取付ける。排気導管59は、次に、チャンバ12を通ってプロセスガスを吸引するための適切なバキューム手段(示されていない)と連通し得る。例示的実施形態において、プロセスガスは反応チャンバ12および下流のスクラバー(示されていない)を通って吸引される。ポンプまたはファンが、好ましくは、チャンバ12を通ってのプロセスガスの吸引を補助するため、および低圧加工のためにチャンバを排気するために含まれる。
リアクタ10は、また、必要に応じて励起種供給源60を含み、好ましくはチャンバ12の上流に位置する。図示された実施形態の励起種供給源60は、ガスライン62に沿ったマグネトロンパワージェネレータおよびアプリケータを含む遠隔プラズマジェネレータを備える。代表的な遠隔プラズマジェネレータは、Rapid Reactive Radicals Technology (R3T)GmbH(ミュンヘン、ドイツ)から商品名TRW−850として商業的に入手可能である。図示された実施形態において、マグネトロンからのマイクロ波エネルギーは、ガスライン62に沿ったアプリケータ中を流れるガスに連結される。前駆体ガス供給源63は、励起種供給源60への導入のために、ガスライン62に連結される。キャリアガス供給源64もまたガスライン62に連結される。更なる反応物のために1つ以上の更なるブランチライン65もまた備えられ得る。当該技術分野において知られるように、ガス供給源63、64は、反応物種の形態及び揮発性に依存して、ガスタンク、バブラー等を備え得る。各々のガスラインは、励起種供給源60およびそこから反応チャンバ12へ導入されるキャリアおよび反応物種の相対量の選択を可能にするために、示されるように個別のマスフローコントローラ(MFC)およびバルブが備え付けられ得る。他のアレンジメントにおいて、励起種は、プロセスチャンバ中で生成され得ることが理解される。しかしながら、下記に示す好ましいプロセスは、励起種を使用せず、むしろ熱CVDの種である。
例示的実施形態において、ウェハは、周囲環境から隔離されたハンドリングチャンバ(示されていない)からピックアップデバイスでスロット52を通して入れられる。例示的実施形態において、ハンドリングチャンバおよびプロセシングチャンバ12は、米国特許第4,828,224号(Crabbらに発行された)に開示されるタイプのゲートバルブ(示されていない)(その開示は参考により援用される)によって隔てられる。
200mmウェハを加工するために設計された枚葉式プロセスチャンバ12の全収容量は、例えば、好ましくは約30リットルより少なく、より好ましくは約20リットルより少なく、最も好ましくは約10リットルよりも少ない。図示されたチャンバ12は収容量約7.5リットルを有する。しかしながら、図示されたチャンバ12はデバイダ36、38、基板ホルダ20、スリップリング32およびチューブ26から流れるパージガスによって分割されるので、チャンバ12の上部にプロセスガスが流動する有効容量は全容量の約半分(図示された実施形態においては約3.77リットル)である。勿論、枚葉式プロセスチャンバ12の容量は、チャンバ12が設計され収容しようとするウェハのサイズに依存し得ることが理解される。例えば、図示されたタイプであるが300mmのウェハ用の枚葉式プロセシングチャンバ12は、好ましくは約100リットルよりも少ない収容量を有し、より好ましくは約60リットルより少なく、最も好ましくは約30リットルより少ない。1つの300mmウェハ用プロセシングチャンバは、全容量約24リットルを有し、有効プロセシングガス収容量約11.83リットルを有する。
上述したように、複数の気相前駆体供給源(示されていない)は、付随の安全装置およびコントロールバルブ並びにガスパネルにおいて統合されたMFCを伴うガスラインによってインレット54に接続される。プロセスガスは、中央制御装置にプログラムされた指令に従って、インレット54に連通され、注入器を通ってプロセスチャンバ12中に分配される。プロセスチャンバ12を通過した後、未反応のプロセスガスおよび気体の反応副産物は、大気への排気前に環境に悪影響を与える煙霧を凝縮させるためにスクラバーへ排気される。
ガス供給源は、好ましくは、キャリアガスの供給源を含む。好ましくは、キャリアガスは、窒素(N)のような不活性ガスを含む。窒素ガスは、比較的不活性であり且つ多くの集積材料及びプロセスフローと適合性がある。他の可能な不活性キャリアガスとしては、ヘリウム(He)又はアルゴン(Ar)等の希ガスが挙げられる。特定のシリコン堆積プロセスがHを使用する場合、水素ガス(H)の供給源もまたリアクタ10へ供給され得る。
気相供給源は、液体反応物供給源を含み得る。液体供給源は、例えば、液体ジクロロシラン(DCS)、トリクロロシラン(TCS)、又は有機金属供給源をバブラー並びにバブラーから反応チャンバ12までの気相反応物をバブリング又は輸送するためのガスライン中に含み得る。バブラーは、代替的に(又は、付加的に)液体タンタルエトキシド(Ta(OC)を金属供給源として保持し、一方で、ガスラインは、キャリアガスを液体金属供給源を通してバブリングし、有機金属前駆体をガス状形態で反応チャンバ12へ輸送するよう働く。
例示的実施形態において、リアクタ10は、また、ドーパント供給源(例えば、ホスフィン(PH)、アルシン(AsH)及びジボラン(B))並びにリアクタウォール及び他の内部コンポーネントをクリーニングするためのエッチング剤(例えば、励起種供給源60に供給するためのプラズマ供給源ガスとして供給される塩酸(HCl)又はNF/Cl)のような他の供給源ガスを含む。幾つかの実施形態に従う多結晶シリコンゲルマニウム(ポリ−SiGe)の堆積のために、ゲルマニウムの供給源(例えば、ゲルマン(GeH))がまたシリコンゲルマニウム(SiGe)膜のドーピング又は形成のために供給され得る。
例示的実施形態において、シリコン供給源がまた提供される。当該技術分野で知られているように、シラン(モノシラン(SiH)、DCS及びTCSを含む)は、例えば、ポリ−SiGe、窒化シリコン、ケイ化金属、及び、エキストリンシック(extrinsic)またはイントリンシック(intrinsic)なシリコン(堆積パラメータに依存して、多結晶、アモルファス、または、エピタキシャル)の堆積といったCVDアプリケーションのためのよく用いられる揮発性シリコン供給源である。他の可能なシリコン供給源としては、ジシラン(Si)、トリシラン(Si)及びテトラシラン(Si10)が挙げられる。ハロゲン化されていないシラン(例えば、モノシラン、ジシラン、トリシラン、及びテトラシラン)は、感受性のあるゲート絶縁膜構造への塩素の混入を回避するのに好ましい。
高k材料の堆積
前述したように、高k材料の層は、気化した高k材料の表面上への堆積が連続的及び交互の自己飽和表面反応に基づくALDプロセスにおいて、堆積され得る。例えば、金属供給源化学物質と酸素供給源化学物質との交互気相パルスは、減圧された反応チャンバ内に供給され、加熱された基板表面と接触し、金属酸化物薄膜を形成する。供給源化学物質パルスは、気相反応が回避され且つ自己飽和表面反応のみが可能になるように、除去工程により(例えば、不活性または希ガスをフローすることにより)互いに隔てられる。本書において記載されるALDプロセスは、ASM Microchemistry Oy(エスポー、フィンランド)から市販されているPulsarTM2000ALCVDTMリアクタにおいて実施され得る。概略的なプロセスは、図8に図示される。ALDプロセスに関する更なる情報は、参考により本書においてその全開示が援用される米国特許出願公報2002/0115252 A1(2002年8月22日に公開された)に開示される。
概して、金属供給源化学物質は、揮発性であり且つ基板温度において熱的に安定な化合物群から選択される。酸素供給源化学物質は、酸素を含有し且つ基板表面上の金属供給源化合物と反応し得る揮発性または気体化合物から選択される。例示的な酸素供給源材料としては、これらに限定されないが、過酸化水素、O、不対電子を有する酸素、HO及びアルコール(例えば、メタノール、エタノール、イソプロパノール)である。
例(ALDプロセス)において、基板は、反応スペース中にロードされる。反応スペースは、所望の温度に調整され、また、反応スペース中のガス雰囲気は、所望の圧力に調整される。4つの基本操作を含む繰返し可能なプロセスシークエンス(図8に図示されるような)が開始される。
図8に図示される例示的方法において、金属供給源化学物質の気相パルス150は、
反応スペースに導入され、基板表面と接触される。表面を飽和し、吸着された反応物の1以下の単層を残すのに十分な第一接触時間の後、反応スペースの圧力を変化させることによって及び/又は不活性ガスフローによって、過剰な金属供給源化学物質及び存在し得る(possible)反応副産物が、反応スペースから除去される155。第一パージング時間の後、酸素供給源の気相パルス160が反応チャンバ中に導入され、基板表面と接触される。第二接触時間の後、過剰な酸素供給源化学物質および存在し得る反応副産物は、反応スペースの圧力を変化させることによって及び/又は不活性ガスフローによって、反応スペースから除去される165。第二パージング時間の後、所望の厚さの金属酸化物薄膜が得られるまで、図示されたプロセスサイクルが繰り返される。所望の厚さが得られた後、薄膜を有する基板は、以下により詳細に記載されるキャッピング層の堆積のための異なる反応チャンバへ移送され得る。
例えば、本書に記載されるALDプロセスを用いてHfOの薄膜を成長させるため、HfCl蒸気は、ALD反応チャンバ中に導入され、約1.5秒間基板表面に暴露される。これは、パルスAと称される。次いで、反応チャンバは窒素ガスで約3.0秒間パージされ、過剰なHfCl及び副産物が反応チャンバから除かれる。これは、パージAと称される。次いで、水蒸気が反応チャンバに導入され、約3.0秒間ウェハ表面に暴露される。これは、パルスBと称される。次に、残余のHO及び反応副産物は、反応チャンバを約4.0秒間パージすることにより除去される。これは、パージBと称される。反応相(reaction phase)の間、反応物は、基板表面を飽和するのに十分な量で供給される。この例示的な高k堆積サイクルは、表Aにおいて要約される。
Figure 2006310801
1つの実施形態において、パルスA、パージA、パルスB、パージBからなる表Aのサイクルが60回繰り返される。平均堆積速度は、結果的に得られるHfOの厚さが約30Åになるように、300℃にて約0.50Åサイクル−1である。
表Aに示されるプロセッシングパラメータは例示的であり、他のパラメータが他の実施形態において使用され得る。例えば、プロセス中の温度は、通常、約200℃と約500℃の間であり得る。アモルファスHfO層について、温度は、通常、この範囲のローエンド(low end)(約200℃から約250℃まで)であり、1つの特定の実施形態において約225℃である。結晶膜について、温度は、通常、この範囲のハイエンド(high end)(約250℃と約500℃の間)であり、1つの特定の実施形態において約300℃である。アモルファス及び結晶組成の混合物は、これらの2つのレジメの境界に帰着する。表Aに示されたプロセッシングパラメータは、広く結晶HfO膜を製造する。
キャッピング層:形成及び特性
例示的実施形態において、シリコンオキサイドキャッピング層は、SiH及び亜酸化窒素(NO)を用いて、枚葉式リアクタ中で、高速熱化学蒸着法(RTCVD)によりゲート絶縁膜上に形成される。得られるトランジスタ構造の例示的実施形態は、図2に図示される。具体的に、図2は、シリコン基板210上に形成され且つ供給源220、ドレイン230、高kゲート絶縁層250及びゲート電極270を有する薄層トランジスタ(TFT)構造を図示する。図2に図示されるトランジスタ構造は、供給源220及びドレイン230の位置を高めているが(elevated)、これらの構造の高位(elevation)は任意である。図示されるように、ゲート絶縁層250は、低インターフェイス層(lower interface layer)240に隣接し、例示的実施形態において約0.3nmと約1.5nmの間の厚さであるシリコンオキサイドまたはSiON膜を備える。図2に図示される構造の形成のための例示的方法は、図9に示される。
ここで図2及び9について言及すると、例示的実施形態において、低インターフェイス層240は、湿式化学処理、熱酸化、または、ラジカルにより援助される酸化(radical assisted oxidation)のような技術によってオペレーショナルブロック180において形成される。次いで、高kゲート絶縁層250は、オペレーショナルブロック185における低インターフェイス層240上に形成される。1つの実施形態において、ゲート絶縁層250は約7より大きい誘電率を有する材料を含有し、別の実施形態においてゲート絶縁層250は約10より大きい誘電率を有する材料を含有し、さらに別の実施形態において、ゲート絶縁層250は約12より大きい誘電率を有する材料を含有する。例示的実施形態において、ゲート絶縁膜は、金属酸化物を含有する。改変された実施形態において、低インターフェイス層240は省略され、高kゲート絶縁層250は、シリコン基板210上に直接形成される。
前述したように、有害な反応を引き起こすこと及び高k層とポリシラン層との間のインターフェイスでのトラッピング効果を回避するため、薄いシリコンオキサイドキャッピング層260が、ゲート絶縁層250とゲート電極270との間に配置される。このキャッピング層260は、図9に図示されるオペレーショナルブロック190において形成される。通常、シリコンオキサイドキャッピング層260は、シリコンオキサイド低インターフェイス層240と同じ方法を用いて形成されない。むしろ、キャッピング層260は、SiH及びNOを用いるRTCVDにより形成される。特に、シリコンオキサイドキャッピング層260を形成するための例示的プロセッシングパラメータは、表Bにおいて示される。さらに、表Bは、改変された実施形態が操作可能な2セットのプロセシングパラメータの範囲を示す;これらの改変された実施形態は、他の特性を有するキャッピング層260を製造するために使用され得る。
Figure 2006310801
表Bに記載される例示的パラメータは、下に位置する高kゲート絶縁層を堆積するためのリアクタを有する1つのツール上でのクラスタリング(clustering)に特に好適である。他のプロセッシングパラメータが、高kゲート絶縁膜上にキャッピング層を創るための他の実施形態において使用され得る。例えば、別の例示的実施形態において、堆積温度は低下され得る。温度低下は、有利なことに、高k材料とNO及び/又はSiHとの間の望ましくない相互作用の可能性を減少させ得る。温度低下はまた、有利なことに、低インターフェイス層の酸化を低減または排除し得る;低インターフェイス層の酸化は、不都合なことに、層厚の増大をたらす。温度低下に起因する堆積速度の減少は、必要に応じて、SiH及び/又はNOの分圧を増大させることにより相殺され得る。このような実施形態においてシリコンオキサイドキャッピング層260を形成するための例示的プロセッシングパラメータは、表Cに示される。さらに、表Cは、改変された実施形態が操作可能な2セットのプロセシングパラメータの範囲を示す;これらの改変された実施形態は、他の特性を有するキャッピング層260を製造するために使用され得る。
Figure 2006310801
表B及びCに示されるパラメータを用いて形成されるSiOキャッピング層の厚さは、種々のパラメータ(堆積時間を含む)を調整することにより制御され得る。具体的に、図3(これは、表Bに示される値を用いる実施形態における、堆積時間の関数としてのキャッピング層の厚さのプロットである)は、この例示的実施形態において、キャッピング層が約0.5nm min−1と約2.5nm min−1の間で成長することを示す。図3から明らかなように、このような層は、自然酸化膜層(ライン310)上に形成され得るか、または、HfOの5nm層上に形成され得る(ライン320及び330)。キャッピング層は、他の実施形態において、他のタイプの酸化物層上に形成され得る。エリプソメトリーが使用され、SiOキャッピング層の堆積後の合計酸化物厚さを決定され得る。
完成したキャッピング層は、好ましくは約0.3nmと約2.0nmの間の厚さであり、より好ましくは約0.3nmと約1.2nmの間の厚さであり、最も好ましくは約0.3nmと約1.0nmの間の厚さである。堆積時間を約10秒と約180秒の間で調整することにより、キャッピング層の厚さを厳密に制御することができる。好ましくは、堆積時間は、約10秒と約135秒の間で調整され、より好ましくは、堆積時間は、約10秒と約90秒の間で調整される。1つの実施形態において、堆積時間は180秒より短く、別の実施形態において、堆積時間は60秒より短い。さらに、これらのパラメータは、有利なことに、枚葉式リアクタを用いて商業的に許容されるスループットを達成するのに十分速いプロセスを提供する。
図2に図示される例示的実施形態において、キャッピング層260の形成の後、ゲート電極は、オペレーショナルブロック195においてその上に堆積される。1つの実施形態において、ゲート電極270は、(他の実施形態では他の材料が使用され得るが)多結晶シリコン(例えば、ポリ−SiGe)を含有する。さらに別の実施形態において、ゲート電極270は、仕事関数で調整された(work function−tailored)金属材料を含有し得る。ゲート電極270は、キャッピング層260を堆積するために使用されるものと同じ堆積チャンバにおいて、CVDプロセスを用いて形成され得る。しかしながら、他の実施形態において、ゲート電極270は、キャッピング層260を堆積するために使用されたものとは異なる堆積チャンバにおいて形成される。
本書に記載されるように、高k材料上へのキャッピング層の堆積は、幾らかの有利な電気特性を与える。例えば、特定のキャッピング層は、高k材料とポリシリコンゲート電極との間の直接的インターフェイスと比べて、電気的により受動的なインターフェイスを高k材料に与え得る。特に、シリコンオキサイドは、高温(例えば、1000℃以上)でHfO層とポリシリコンの両方に接触するとき、通常、安定である。キャッピング層の他の電気的利点は、キャッピング層の表面に電荷を堆積させ(depositing)、そして、堆積された電荷の関数として表面電位を測定することにより(例えば、コロナ電荷を堆積させることができる非接触電気メトロロジーツールを用いることにより)、実証され得る。
例えば、シリコンオキサイドキャッピング層は、他の材料(例えば、Al及びSi)よりも低密度の固定電荷を有する。図4は、堆積された電荷の関数としてTFT構造上での表面電圧のプロット(「Q−V曲線」である。具体的に、ライン410は、上に重なるキャッピング層を有さないHfOの5nm厚層に対するQ−V曲線であり、一方、ライン420、430及び440は、異なる厚さを有するSiOキャッピング層をそれぞれ備える3種の異なる5nm厚HfO層に対するQ−V曲線である。具体的に、ライン420は、比較的薄いSiOキャッピング層(約60秒間堆積された)を表し、ライン430は、中間の厚さを有するSiOキャッピング層(約120秒間堆積された)を表し、ライン440は、比較的厚いSiOキャッピング層(約180秒間堆積された)を表す。図示されるように、Q−V曲線は十分に展開されている(well−developed):それらは、電荷トラッピングまたは電荷漏洩を伴わない理想的なキャパシタに対するQ−V曲線とよく似ている。これは、SiOキャッピング層が有利な電気特性を有することを示唆する。
TFT構造の有効な電気的厚さは、図4に図示されるQ−V曲線の傾きから導かれ得る。具体的に、図5は、Q−V曲線の傾きに基づき計算された、図4に示されるQ−V曲線を有する4種類のトランジスタ誘電構造の実効酸化膜厚(EOT)を図示する。図5は、この実施形態において、実効酸化膜厚(「EOT」)が、約10Åmin−1と約15Åmin−1の間で増大することを確認する。
高k材料上へのキャッピング層の堆積の有利な特性は、また、キャッピング層を有する及び有さないTFT構造のフラットバンド電圧の評価に基づき明らかである。フラットバンド電圧の測定値は、非接触電気測定法(non−contact electrical measurements)から得られ得る。例えば、図6は、層を形成するために使用される堆積サイクルの数により測定された、層の厚さの関数としてのHfO層に対するフラットバンド電圧のプロットである。図6のHfO層は、約2nmの厚さを有するシリコンオキサイド低インターフェイス層240(図2を参照のこと)上に堆積された。キャッピング層は、図6のHfO層上に堆積されなかった。図示されるように、上に重なるHfO層を有さない低インターフェイス層240のフラットバンド電圧(約0ボルト)に合致するように、低インターフェイス層240上へのHfOの堆積はフラットバンド電圧の増大をもたらす。
図7は、キャッピング層の厚さの関数としての、上に重なるSiOキャッピング層を有する5nm HfO層に対するフラットバンド電圧のプロットを示す。図示されるように、キャッピング層の堆積は、構造のフラットバンド電圧の有意な減少を結果的にもたらす。特に、図6に示されるように、より厚いキャッピング層ほど、フラットバンド電圧が、SiO低インターフェイス層240のフラットバンド電圧−約0ボルト−に近づく。これは、キャッピング層が、本書に開示される方法を用いて堆積されるとき、高kゲート絶縁膜の電気特性に有利に影響し得ることを示唆する。
有利な電気特性を与えることに加えて、高kゲート絶縁膜上へのキャップ層の堆積は、ポリシリコンの堆積の間又は後の高温でのプロセシング工程の間、高k材料と上に重なるポリシリコン電極との反応の可能性を低減し得る。特に、シリコンオキサイドキャップは、概して、Al、Si又は他の材料よりも、ポリシリコンとのより安定したインターフェイスを形成することができる。キャップ層は、また、有利なことに、高k誘電層における弱点及び欠陥部位をシールし(seal)、そして高k誘電材料と上に重なるポリシリコン電極との間のドーパント移動の可能性を低減させる。
本書に記載されるようなSiOキャッピング層の製造及び使用は、高k誘電体を用いるトランジスタ構造の製造にとって驚くべき利点を与える。特に、SiO層上に材料を堆積させることはより困難であると伝統的に考えられてきたため、先行技術はSiO上の直接的なゲート電極堆積を回避する方法を探し、それゆえ続く堆積工程を促進させるようウェッティング層の開発を導いた。さらに、伝統的にCVDプロセスがALDプロセスよりも制御しにくい(less controllable)と考えられていた事実にも関わらず、SiOキャッピング層を製造するためのRTCVDプロセスの使用は、驚くほど効率的な層を製造することを見出した。
シリコンオキサイドキャッピング層を形成するための本書に開示される方法は、高k材料及びシリコンオキサイド膜のより進歩したナノラミネートを形成するために使用され得る。例えば、これらの方法は、SiO−HfO−SiOラミネート、HfO−SiO−HfO−SiOラミネート、HfO−SiO−HfOラミネート、及びSiO−HfO−SiO−HfOラミネート(これらの全ては、ゲート絶縁膜として使用され得る)を形成するために使用される。さらに、本書に記載される方法は、また、超薄シリコンオキサイド層を、遠隔プラズマエンハンスト化学蒸着法(RPECVD)(remote plasma−enhanced chemical vapor deposition)プロセス(例えば、SiH/Nを用いるCVD)によって形成される超薄窒化シリコン層と組み合わせることに使用され得る。
発明の範囲
前述の詳細な説明は、本発明のいくつかの実施形態を開示するが、この開示は本発明を説明するためだけのものであり、本発明を限定するものではないことが理解されるべきである。開示される特定の配置及び操作は、上に記載されるものと異なり得、また本書に記載される方法は、TFT製造以外の状況において使用され得る。
シリコンオキサイドキャッピング構造及び技術の例示的実施形態は、添付の図面において図示される(図面は、説明目的のみのためである)。図面は、以下の図を含む(図において、同様の数字が同様のパーツを示す)。
図1は、本書に開示される特定の構造を製造するために使用され得る例示的な単基板反応チャンバの概略断面図である。 図2は、高k誘電層上にキャッピング層を備えるトランジスタ構造の概略図である。 図3は、例示的実施形態のプロセッシングパラメータを用いる場合の、堆積時間の関数としての、キャッピング層の厚さのプロットである。 図4は、堆積された電荷の関数としての高kスタック上の表面電圧のプロットである。 図5は、Q−V曲線のスロープに基づき計算された、図4に示されるQ−V曲線を有する4種のトランジスタ構造における誘電層の実効酸化膜厚(EOT)を示す(ここで、各誘電体は、異なる厚さのSiOキャッピング層を備える)。 図6は、HfO層の厚さの関数としての、2nm SiO層上のHfO層についてのフラットバンド電圧のプロットである。 図7は、キャッピング層の厚さの関数としての、上に重なるSiOキャッピング層を備える5nm HfO層についてのフラットバンド電圧のプロットを示す。 図8は、原子層堆積(ALD)プロセスを用いて金属酸化物を堆積するための例示的方法を説明するフローチャートである。 図9は、高k誘電層上にキャッピング層を備えるトランジスタ構造を形成するための例示的方法を説明するフローチャートである。

Claims (57)

  1. 原子層堆積プロセスを用いて、半導体基板上にゲート絶縁膜を堆積すること(ここで、ゲート絶縁膜は、高k材料を含有する);
    ケイ素供給源ガス及び酸素供給源ガスとしてそれぞれSiH及びNOを用いて、高速熱化学蒸着プロセスにおいて、ゲート絶縁材料上にシリコンオキサイド層を堆積すること;及び
    シリコンオキサイド層上にゲート電極を形成すること、
    を包含する、半導体基板上に集積回路構造を形成するための方法。
  2. SiHがシリコンオキサイド層の堆積のためのケイ素供給源ガスとして使用される、請求項1に記載の方法。
  3. Oがシリコンオキサイド層の堆積のための酸素供給源ガスとして使用される、請求項1に記載の方法。
  4. シリコンオキサイド層がSiOを含有する、請求項1に記載の方法。
  5. シリコンオキサイド層がSiONを含有する、請求項1に記載の方法。
  6. シリコンオキサイド層が約5Åmin−1と約25Åmin−1の間の速度で堆積される、請求項1に記載の方法。
  7. シリコンオキサイド層が約500℃と約800℃の間の温度で堆積される、請求項1に記載の方法。
  8. シリコンオキサイド層が約600℃と約700℃の間の温度で堆積される、請求項1に記載の方法。
  9. 半導体基板上に低インターフェイス層を成長させることをさらに包含する(低インターフェイス層は、半導体基板とゲート絶縁膜との間のインターフェイスを形成するよう配置される)、請求項1に記載の方法。
  10. 低インターフェイス層がシリコンオキサイドを含有する、請求項9に記載の方法。
  11. シリコンオキサイド層が約0.3nmと約2.0nmの間の厚さを有する、請求項1に記載の方法。
  12. ゲート絶縁膜がHfOを含有する、請求項1に記載の方法。
  13. ゲート絶縁膜が金属酸化物を含有する、請求項1に記載の方法。
  14. ゲート絶縁膜が約7より大きい誘電率を有する材料を含有する、請求項1に記載の方法。
  15. ゲート電極が多結晶シリコンを含有する、請求項1に記載の方法。
  16. 高k材料を提供すること;
    高速熱化学蒸着プロセスにおいて高k材料上にシリコンオキサイドを堆積すること;及び、
    シリコンオキサイド上に電極を形成すること、
    を包含する、集積回路を製造する方法。
  17. 高k材料がALDプロセスを用いて形成される、請求項16に記載の方法。
  18. 電極がトランジスタ構造のゲート電極である、請求項16に記載の方法。
  19. 電極がキャパシタ構造の電極である、請求項16に記載の方法。
  20. シリコンオキサイド層が約5Åmin−1と約25Åmin−1の間の速度で堆積される、請求項16に記載の方法。
  21. シリコンオキサイド層が約500℃と約800℃の間の温度で堆積される、請求項16に記載の方法。
  22. 高k材料を提供する前に、半導体基板上にインターフェイス層を形成することをさらに包含する、請求項16に記載の方法。
  23. 高k材料を提供する前に、半導体基板上にインターフェイス層を成長させることをさらに包含する(ここで、インターフェイス層はシリコンオキサイドを含有する)請求項16に記載の方法。
  24. シリコンオキサイドの堆積において、ケイ素供給源及び酸素供給源としてそれぞれSiH及びNOが使用される、請求項16に記載の方法。
  25. シリコンオキサイドが約0.3nmと約2.0nmの間の厚さに堆積される、請求項16に記載の方法。
  26. シリコンオキサイドが約180秒よりも短い間で堆積される、請求項16に記載の方法。
  27. シリコンオキサイドが約60秒よりも短い間で堆積される、請求項16に記載の方法。
  28. シリコンオキサイドが約10秒と約135秒の間で堆積される、請求項16に記載の方法。
  29. 高k材料が金属酸化物を含有する,請求項16に記載の方法。
  30. 高k材料が約7より大きい誘電率を有する材料を含有する、請求項16に記載の方法。
  31. 高k材料が約10より大きい誘電率を有する材料を含有する、請求項16に記載の方法。
  32. 電極が多結晶シリコンを含有する、請求項16に記載の方法。
  33. 半導体基板;
    半導体基板上に配置されたゲート絶縁材料(該ゲート絶縁材料は、約7より大きい誘電率を有する);
    ゲート絶縁材料上に配置されたシリコンオキサイドキャッピング層(silicon oxide capping layer)
    該キャッピング層上に形成されたゲート電極、
    を備える、薄膜トランジスタ装置。
  34. キャッピング層が約0.3nmと約2.0nmの間の厚さを有する、請求項33に記載の装置。
  35. キャッピング層が約0.3nmと約1.2nmの間の厚さを有する、請求項33に記載の装置。
  36. ゲート絶縁材料が半導体基板と直接接触する、請求項33に記載の装置。
  37. ゲート電極が多結晶シリコンゲルマニウムを含有する、請求項33に記載の装置。
  38. 半導体基板とゲート絶縁材料との間に配置される低インターフェイス層をさらに備える、請求項33に記載の装置。
  39. 低インターフェイス層が、シリコンオキサイド及びシリコンオキシナイトライドからなる群より選択される、請求項38に記載の装置。
  40. 低インターフェイス層が、約0.3nmと約1.2nmの間の厚さを有する、請求項38に記載の装置。
  41. ゲート絶縁材料が金属酸化物を含有する、請求項33に記載の装置。
  42. ゲート絶縁材料が約10より大きい誘電率を有する材料を含有する、請求項33に記載の装置。
  43. ゲート絶縁材料が、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、酸化アルミニウム、バリウムストロンチウムチタネート、ストロンチウムビスマスタンタレート、及び、ランタニド酸化物からなる群より選択される、請求項33に記載の装置。
  44. 第一サイド(first side)、及び、該第一サイドと反対側の第二サイド(second side)を有する高k材料の層;
    高k層の第一サイドと接触する酸化物キャッピング層、及び、
    高k材料の第二サイドと接触するコンダクタ、
    を備える、集積回路。
  45. コンダクタがキャパシタ電極である、請求項44に記載の集積回路。
  46. コンダクタがトランジスタゲート電極である、請求項44に記載の集積回路。
  47. 高k層が半導体基板上に配置される、請求項46に記載の集積回路。
  48. 半導体基板と高k層との間に配置される低インターフェイス層をさらに備える、請求項47に記載の集積回路。
  49. 低インターフェイス層が、シリコンオキサイド及びシリコンオキシナイトライドからなる群より選択される、請求項48に記載の集積回路。
  50. 低インターフェイス層が、約0.3nmと約1.5nmの間の厚さを有する、請求項48に記載の集積回路。
  51. トランジスタゲート電極が多結晶シリコンを含有する、請求項46に記載の集積回路。
  52. キャッピング層が、約0.3nmと約2.0nmの間の厚さを有する、請求項44に記載の集積回路。
  53. キャッピング層が、約0.3nmと約1.2nmの間の厚さを有する、請求項44に記載の集積回路。
  54. キャッピング層が、約0.3nmと約1.0nmの間の厚さを有する、請求項44に記載の集積回路。
  55. 高k層が金属酸化物を含有する、請求項44に記載の集積回路。
  56. 高k層が約10より大きい誘電率を有する材料を含有する、請求項44に記載の集積回路。
  57. 高k層が、酸化ジルコニウム、酸化ハフニウム、酸化タンタル、酸化アルミニウム、バリウムストロンチウムチタネート、ストロンチウムビスマスタンタレート、及び、ランタニド酸化物からなる群より選択される、請求項44に記載の集積回路。

JP2006075410A 2005-03-21 2006-03-17 高誘電率膜上のシリコンオキサイドキャップ Pending JP2006310801A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/087,079 US20060211259A1 (en) 2005-03-21 2005-03-21 Silicon oxide cap over high dielectric constant films

Publications (1)

Publication Number Publication Date
JP2006310801A true JP2006310801A (ja) 2006-11-09

Family

ID=37010950

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006075410A Pending JP2006310801A (ja) 2005-03-21 2006-03-17 高誘電率膜上のシリコンオキサイドキャップ

Country Status (3)

Country Link
US (1) US20060211259A1 (ja)
JP (1) JP2006310801A (ja)
TW (1) TW200636827A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007081211A (ja) * 2005-09-15 2007-03-29 Fujitsu Ltd 絶縁ゲート型半導体装置及びその製造方法
JP2011014614A (ja) * 2009-06-30 2011-01-20 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
US8030717B2 (en) 2008-09-18 2011-10-04 Tokyo Electron Limited Semiconductor device
US8168547B2 (en) 2007-05-15 2012-05-01 Renesas Electronics Corporation Manufacturing method of semiconductor device
KR20180110598A (ko) * 2017-03-29 2018-10-10 에이에스엠 아이피 홀딩 비.브이. 순환 증착에 의해 기판 상에 도핑된 산화 금속 막을 형성하는 방법 및 관련 반도체 소자 구조체

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR20060079958A (ko) * 2005-01-04 2006-07-07 삼성전자주식회사 실리콘 박막트랜지스터
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US20080242012A1 (en) * 2007-03-28 2008-10-02 Sangwoo Pae High quality silicon oxynitride transition layer for high-k/metal gate transistors
US8735243B2 (en) * 2007-08-06 2014-05-27 International Business Machines Corporation FET device with stabilized threshold modifying material
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8053838B2 (en) * 2008-06-26 2011-11-08 International Business Machines Corporation Structures, fabrication methods, design structures for strained fin field effect transistors (FinFets)
US7994051B2 (en) 2008-10-17 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Implantation method for reducing threshold voltage for high-K metal gate device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9299855B2 (en) * 2013-08-09 2016-03-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having dual gate insulating layers
US9601591B2 (en) 2013-08-09 2017-03-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10763179B2 (en) * 2015-02-27 2020-09-01 Semilab Semiconductor Physics Laboratory Co., Ltd. Non-contact method to monitor and quantify effective work function of metals
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI827645B (zh) * 2018-08-23 2024-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理設備及方法
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063666A (en) * 1998-06-16 2000-05-16 Advanced Micro Devices, Inc. RTCVD oxide and N2 O anneal for top oxide of ONO film
US6682973B1 (en) * 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US7122415B2 (en) * 2002-09-12 2006-10-17 Promos Technologies, Inc. Atomic layer deposition of interpoly oxides in a non-volatile memory device
US7355235B2 (en) * 2004-12-22 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for high-k gate dielectrics

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007081211A (ja) * 2005-09-15 2007-03-29 Fujitsu Ltd 絶縁ゲート型半導体装置及びその製造方法
US8168547B2 (en) 2007-05-15 2012-05-01 Renesas Electronics Corporation Manufacturing method of semiconductor device
US8030717B2 (en) 2008-09-18 2011-10-04 Tokyo Electron Limited Semiconductor device
JP2011014614A (ja) * 2009-06-30 2011-01-20 Fujitsu Semiconductor Ltd 半導体装置及びその製造方法
KR20180110598A (ko) * 2017-03-29 2018-10-10 에이에스엠 아이피 홀딩 비.브이. 순환 증착에 의해 기판 상에 도핑된 산화 금속 막을 형성하는 방법 및 관련 반도체 소자 구조체
KR102553361B1 (ko) * 2017-03-29 2023-07-07 에이에스엠 아이피 홀딩 비.브이. 순환 증착에 의해 기판 상에 도핑된 산화 금속 막을 형성하는 방법 및 관련 반도체 소자 구조체

Also Published As

Publication number Publication date
TW200636827A (en) 2006-10-16
US20060211259A1 (en) 2006-09-21

Similar Documents

Publication Publication Date Title
JP2006310801A (ja) 高誘電率膜上のシリコンオキサイドキャップ
JP4281082B2 (ja) 堆積前の表面調整方法
US7202166B2 (en) Surface preparation prior to deposition on germanium
US6348420B1 (en) Situ dielectric stacks
US7026219B2 (en) Integration of high k gate dielectric
US6960537B2 (en) Incorporation of nitrogen into high k dielectric film
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers