CN1666324A - 在基板上形成绝缘膜的方法、半导体装置的制造方法和基板处理装置 - Google Patents

在基板上形成绝缘膜的方法、半导体装置的制造方法和基板处理装置 Download PDF

Info

Publication number
CN1666324A
CN1666324A CN038162083A CN03816208A CN1666324A CN 1666324 A CN1666324 A CN 1666324A CN 038162083 A CN038162083 A CN 038162083A CN 03816208 A CN03816208 A CN 03816208A CN 1666324 A CN1666324 A CN 1666324A
Authority
CN
China
Prior art keywords
nitrogen
oxygen
free radical
substrate
radical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN038162083A
Other languages
English (en)
Other versions
CN100359649C (zh
Inventor
井下田真信
青山真太郎
神力博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1666324A publication Critical patent/CN1666324A/zh
Application granted granted Critical
Publication of CN100359649C publication Critical patent/CN100359649C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

基板处理装置(100、40)备有由高频等离子体形成氮自由基和氧自由基的自由基形成部(26)、保持被处理基板(W)的处理容器(21)、和与自由基形成部连接并控制包含氮的第一原料气体和包含氧的第二原料气体的混合比,将所要混合比的混合气体供给自由基形成部的气体供给部(30)。通过向被处理基板表面供给混合比受到控制的氮自由基和氧自由基,在被处理基板表面上形成具有所要氮浓度的绝缘膜。

Description

在基板上形成绝缘膜的方法、半导体装置的制造方法和基板处理装置
技术领域
本发明广泛地涉及半导体装置的制造,特别是涉及将高电介质膜用于栅极绝缘膜的超微细化半导体装置的基板处理技术。
背景技术
在今日的超高速半导体装置中,随着微细化工艺的进步,制造0.1微米以下的栅极长度正在成为可能。一般地随着微细化,半导体装置的工作速度提高,但是在这种非常微细化的半导体装置中,随着由微细化产生的栅极长度的缩短,按照定标规则必须减少栅极绝缘膜的膜厚。
可是,当栅极长度为0.1微米以下时,也需要将栅极绝缘膜的厚度,在已有的使用热氧化膜的情形中,设定在1~2nm或以下,但是在这种非常薄的栅极绝缘膜中,隧道电流增大,结果不能避免栅极漏电流增大那样的问题。
从这种事实出发,提出了将比介电常数远比热氧化膜大的高电介质材料用作栅极绝缘膜的方案。特别是,正在进行用即便实际的膜厚大但是换算成SiO2膜时的膜厚小的Ta2O5、Al2O3、ZrO2、HfO2、ZrSiO4、HfSiO4等的试验。通过使用这种高电介质材料,即便在栅极长度为0.1微米以下,非常短的超高速半导体装置中,也能够使用约10nm的物理膜厚的栅极绝缘膜,能够抑制由隧道效应产生的栅极漏电流。
我们知道Ta2O5膜能够用将Ta(OC2H5)5和O2作为气相原料的CVD法形成。在典型的情形中,CVD工艺是在减压环境下,在约480℃或以上的温度中实施的。进一步在氧气氛中对这样形成的Ta2O5膜进行热处理。结果能够消除膜中的氧缺损,又使膜自身结晶化。结晶化了的Ta2O5膜表示出大的比介电常数。
从提高沟道区域中的载流子迁移率的观点来看,在高电介质栅极氧化膜和硅基板之间,插入1nm以下,优选0.8nm以下厚度的极薄的基底氧化膜是令人满意的。当基底氧化膜的膜厚变厚时,因为与将高电介质膜用作栅极绝缘膜的效果相互抵消,所以必须使基底氧化膜非常薄。要求将非常薄的基底氧化膜均匀地覆盖在硅基板表面上,并且在基底氧化膜中不形成界面能级等的缺陷。
图1表示具有高电介质栅极绝缘膜的高速半导体装置10的概略构成。
参照图1,在硅基板11上形成半导体装置10,在硅基板11上经过薄的基底氧化膜12,形成Ta2O5、Al2O3、ZrO2、HfO2、ZrSiO4、HfSiO4等的高电介质栅极绝缘膜13。在高电介质栅极绝缘膜13上形成栅极14。
但是,在半导体装置10中,为了实现在基底氧化膜12上形成的高电介质栅极绝缘膜13的功能,需要通过对堆积的高电介质膜13进行热处理使它结晶化,并补偿氧缺损。存在着当对高电介质膜13进行这种热处理时,使基底氧化膜12的膜厚增大那样的问题。
作为一个伴随着热处理使基底氧化膜12的膜厚增大的原因,我们推测是当进行热处理时,基底氧化膜层12的硅和上述高电介质膜13的金属相互扩散形成硅酸盐层的缘故。特别是在必须将基底氧化膜12的膜厚减少到作为基底氧化膜所希望的数原子层以下的膜厚的情形中,伴随着基底氧化膜12的热处理使膜厚增大的问题成为一个非常深刻的问题。
作为抑制基底氧化膜的膜厚增大的对策,已经提出了使基底氧化膜层的表面氮化形成氧氮化膜的方案。图2表示对基底氧化膜的表面进行氮化的半导体装置20的概略构成。在图中,与图1的半导体装置10相同的部分上附加相同的参照标号,并省略对它们的说明。
在图2中,在基底氧化膜12的表面部分上,在能够保持硅基板11和基底氧化膜12之间的界面的平坦性的范围内掺杂氮(N),形成氧氮化膜12A。由于该氧氮化膜12A,能够防止生成硅酸盐层,从而防止增大基底氧化膜12的膜厚。
但是,在这种方法中,需要新追加用于对基底氧化膜12进行氮化生成氧氮化膜12A的氮化步骤,使生产性降低。进一步,在基底氧化膜12的深度方向中的氮浓度控制是非常困难的。特别是在硅基板11和基底氧化膜12的界面近旁发生氮的聚集形成界面能级,产生捕获载流子或形成漏电流路径等的问题,这是众所周知的。
发明内容
因此,本发明提供解决上述课题的新的有用的半导体装置的基板处理技术。
更具体地说,本发明的目的是提供能够用单一的步骤在硅基板表面上形成非常薄的、典型地1~3原子层的氧氮化膜的基板处理技术。
又,本发明的目的是提供能够在深度方向适当地控制硅基板表面的非常薄的、典型地1~3原子层的氧氮化膜的氮浓度的基板处理技术。
为了达到上述目的,在本发明的第一侧面中,提供在基板上形成绝缘膜的方法。该方法包含由高频等离子体形成氮自由基和氧自由基的步骤、和通过将上述氮自由基和上述氧自由基供给被处理基板表面在上述被处理基板表面上形成绝缘膜的步骤。
作为更具体的样态,在基板上形成绝缘膜的方法包含
(a)将氮气或氮化物气体和氧气或氧化物气体混合起来生成混合气体的步骤、
(b)通过由高频等离子体激励上述混合气体,形成氮自由基和氧自由基的步骤、
(c)将上述氮自由基和上述氧自由基供给包含硅的被处理基板表面的步骤、和
(d)由上述氮自由基和上述氧自由基,在上述被处理基板表面上形成包含氮的绝缘膜的步骤。
被处理基板例如是硅基板,绝缘膜例如是氧氮化膜。
生成混合气体的步骤包含随着时间改变混合气体中氧气或氧化物气体对氮气或氮化物气体的比例的步骤。
使氮自由基和氧自由基附着以沿被处理基板的表面流动的方式形成的气体流,供给被处理基板。
希望上述气体流从被处理基板的第一侧流动到在直径方向上对置的第二侧。
高频等离子体是通过以400~500kHz的频率激励氮气和氧气形成的。
在本发明的第二侧面中,提供使用了上述基板处理的半导体装置的制造方法。半导体装置的制造方法包含由高频等离子体形成氮自由基和氧自由基的步骤;将上述氮自由基和上述氧自由基供给半导体表面对基板表面进行处理的步骤;和在上述经过表面处理的基板上形成活性元件的步骤。
在更具体的方式中,半导体装置的制造方法包含:
(a)将氮气或氮化物气体和氧气或氧化物气体混合起来形成混合气体的步骤、
(b)通过由高频等离子体激励上述混合气体,形成氮自由基和氧自由基的步骤、
(c)将上述氮自由基和上述氧自由基供给包含硅的被处理基板表面的步骤、
(d)由上述氮自由基和上述氧自由基,在上述被处理基板表面上形成包含氮的绝缘膜的步骤、和
(e)在具有上述绝缘膜的被处理基板上形成半导体元件的步骤。
本发明的第三侧面中,提供能够进行上述基板处理的基板处理装置。该基板处理装置备有由高频等离子体形成氮自由基和氧自由基的自由基形成部、和保持被处理基板的处理容器,上述自由基形成部具有将形成的氮自由基和氧自由基供给上述处理容器的供给通道,通过将上述氮自由基和上述氧自由基供给保持在上述处理容器内的被处理基板表面,在上述被处理基板表面上形成绝缘膜。
基板处理装置进一步备有控制包含氮的第一原料气体和包含氧的第二原料气体的混合比,将所要混合比的混合气体供给上述自由基形成部的气体供给部,通过控制上述第一原料气体和上述第二原料气体的混合比,对在上述自由基形成部中生成的、供给上述处理容器的上述氮自由基和上述氧自由基的供给比进行控制。
自由基形成部,位于处理容器的侧面,形成沿上述被处理基板的表面流动的气体流,使上述氮自由基和上述氧自由基附随着沿上述被处理基板表面的气体流供给上述被处理基板的表面。
处理容器在与自由基形成部的供给通道的相反一侧具有排出氮自由基和氧自由基的排气口。因此,在处理容器内,形成从自由基形成部一侧沿被处理基板流到相反一侧的气体流。
自由基形成部进一步具有在混合气体上加上400kHz~500kHz的频率进行激励的频率施加部件。
如果用根据上述基板处理技术的绝缘膜形成方法、半导体装置的制造方法和基板处理装置,则可以使用由高频等离子体激励的氮自由基和氧自由基,用单一步骤形成非常薄的氧氮化膜。与对氧化膜进行氮化形成氧氮化膜的情形比较可以减少步骤数,提高生产性。
又,在氧氮化膜形成步骤中,可以在形成氧氮化膜的过程中对添加在供给的氮自由基中的氧自由基的量进行控制。结果,可以在膜厚方向将形成的氧氮化膜中的氮浓度控制成所要的分布。
又,这时,因为氧氮化膜的介电常数比至今正在使用的硅氧化膜大,所以可以减少热氧化膜换算膜厚。
附图说明
通过参照附图进行的下述详细说明可以更清楚地了解到本发明的其它目的、特征和优点。
图1是表示具有高电介质栅极绝缘膜和基底氧化膜的半导体装置的构成的图。
图2是表示在高电介质栅极绝缘膜和基底氧化膜之间具有氧氮化膜的半导体装置的构成的图。
图3是表示与本发明的一个实施方式有关的基板处理装置的构成的图。
图4是表示在图3的基板处理装置中使用的远距离等离子体源的构成的图。
图5是比较由图4的远距离等离子体源生成的RF远距离等离子体的特性和微波等离子体的特性的图。
图6是比较关于RF远距离等离子体和微波等离子体的放电的特性的图。
图7是比较由微波激励起等离子体的情形和由高频波激励起等离子体的情形的图。
图8(A)和8(B)是表示用图3的基板处理装置形成氧氮化膜时的自由基的流动的侧面图和上面图。
图9(A)和9(B)是表示图8(A)和8(B)所示的基板处理装置的变形例的侧面图和上面图。
图10是表示在基板处理装置中使用的气体供给装置的构成的图。
图11是表示本发明的一个实施方式中的氮和氧的混合比控制的第一例的图。
图12是表示氮和氧的混合比控制的第二例的图。
图13是表示氮和氧的混合比控制的第三例的图。
图14是表示氮和氧的混合比控制的第四例的图。
图15是表示氮和氧的混合比控制的第五例的图。
具体实施方式
下面,我们根据附图说明本发明的实施例。
图3是与本发明的一个实施方式有关的基板处理装置100的概略构成图。用基板处理装置100,在图2所示的硅基板11上形成氧氮化膜。
基板处理装置100包含处理容器21、由高频等离子体形成氮自由基和氧自由基的远距离等离子体源26和向远距离等离子体源26供给原料气体的供给装置30。
处理容器21收容附有可以上下自由移动地设置在处理位置和基板送入·取出位置之间的加热器22A的基板保持台22。在处理容器21和基板保持台22之间形成处理空间21B。由驱动机构22C使基板保持台22转动。处理容器21的内壁面被石英玻璃制的内部衬垫21G所覆盖,因此,能够将来自露出金属面的被处理基板W的金属污染抑制到1×1010原子/cm2以下的水平。
在基板保持台22与驱动机构22C的结合部配置磁密封体28。磁密封体28分离保持在真空环境中的磁密封室22B和在大气环境中形成的驱动机构22C。因为磁密封体28是液体,所以可以自由转动地保持基板保持台22。
在图3的状态中,基板保持台22处于处理位置,在处理位置的下方,形成用于运入·运出被处理基板W的运入·运出室21C。处理容器21通过闸门阀27A与基板运送单元27结合。在基板保持台22下降到运入·运出室21C内的运入·运出位置的状态中,通过闸门阀27A,从基板运送单元27将被处理基板W运送到基板保持台22上。又,将完成处理的基板W从基板保持台22运送到基板运送单元27。
在图3的基板处理装置100中,在处理容器21的接近闸门阀27A的部分形成排气口21A,通过阀门23A涡轮分子泵23B与排气口21A结合。进一步经过阀门23C将通过干燥泵和机械升压泵结合起来构成的泵24与涡轮分子泵23B结合起来。通过驱动涡轮分子泵23B和干燥泵,可以使处理空间21B的压力降低到1.33×10-1~1.33×10-4Pa(10-3~10-6Torr)。
另一方面,排气口21A通过阀门24A和APC24B直接与泵24结合,通过打开阀门24A,由泵24使处理空间的压力降低到1.33Pa~13.3kPa(0.01~100Torr)。
将远距离等离子体源26设置在处理容器21的与排气口21A对置的一侧,使用于供给氮和氧的气体供给装置30与远距离等离子体源26连接。在气体供给装置30中,在供给的氮中混合微量的氧,生成规定混合比(氧浓度约为10ppm~600ppm)的混合气体。我们将在后面参照图9~图13述说混合比的控制方法。将生成的氮/氧混合气体,与氩(Ar)等的非活性气体一起供给远距离等离子体源26。通过由等离子体激活氮/氧混合气体,能够以规定的混合比生成氮自由基和氧自由基。换句话说,通过调整供给远距离等离子体源26的氮与氧的混合比,能够调整由远距离等离子体源26生成的氮自由基与氧自由基的比。结果,可以在被处理基板W上形成调整到所要氮浓度的氧氮化膜。
在图3的基板处理装置100中,设置用氮气清洗运入·运出室21C的清洗通道21c、用氮气清洗磁密封室22B的清洗通道22b及其排气通道22c。更详细地说,通过阀门29A使涡轮分子泵29B与排气通道22c结合,涡轮分子泵29B通过阀门29C与泵24结合。又排气通道22c也通过阀门29D与泵24直接结合,因此可以将磁密封室22B保持在各种不同的压力上。
由泵24经过阀门24C对运入·运出室21C内的气体进行排气。或者,由涡轮分子泵23B经过阀门23D进行排气。为了避免在处理空间21B中产生污染,使运入·运出室21C维持在比处理空间21B低的压力上。进一步通过差动排气使磁密封室22B维持在比运入·运出室21C低的压力上。
图4表示在图3的基板处理装置100中使用的远距离等离子体源26的构成。远距离等离子体源26,一般包含由铝构成的块26A,在块26A的一部分中形成铁氧体磁心26B。在块26A的内部,形成气体循环通路26a和与其连通的气体入口26b和气体出口26c。
在气体循环通路26a、气体入口26b和气体出口26c的内面施加氟树脂涂层26d。通过向卷绕在铁氧体磁心26B上的线圈供给频率为400kHz的高频波,在上述气体循环通路26a内形成等离子体26C。
伴随着等离子体26C的激励,在上述气体循环通路26a中形成氮自由基、氧自由基和氮离子、氧离子。当在循环通路26a中循环时氮离子和氧离子被消灭,从气体出口26c主要释放出氮自由基N2 *和氧自由基O2*。进一步在图4的构成中,在气体出口26c上设置接地的离子过滤器26e。因此,除去以氮离子为首的带电粒子,只将氮自由基和氧自由基供给处理空间21B。即便当离子过滤器26e不接地时,因为离子过滤器26e起着扩散板的作用,所以也能够充分除去以氮离子为首的带电粒子。
图5是由图4的远距离等离子体源26形成的离子数量和电子能量的关系,并与微波离子体源的情形比较的曲线图。当由微波激励起等离子体时,促进氮分子和氧分子的离子化,形成大量的氮离子和氧离子。与此相对,由500kHz以下的高频波激励起等离子体时,形成的氮离子和氧离子的数量大幅度地减少。当由微波进行等离子体处理时,如图6所示,需要1.33×10-3~1.33×10-6Pa(10-1~10-4Torr)的高真空,但是高频波等离子体处理可以在13.3~13.3kPa(0.1~100Torr)的比较高的压力下进行。
图7表示由微波激励起等离子体的情形和由高频波激励起等离子体的情形之间的离子化能量变换效率、可放电压力范围、等离子体消耗电力、和处理气体流量的比较。我们看到离子化能量变换效率,相对于微波激励情形中的约1×10-2,在RF激励的情形中,减少到约1×10-7,另外,可放电压力,相对于微波激励情形中的约0.1mTorr~0.1Torr(133mPa~13.3Pa)左右,在RF激励的情形中,约为0.1~100Torr(13.3Pa~13.3kPa)左右。与此相伴,等离子体消耗电力是RF激励的情形比微波激励情形大,处理气体流量是RF激励的情形远比微波激励情形大。
在图3所示的基板处理装置100中,不是用氮离子和氧离子而是用氮自由基和氧自由基形成氧氮化膜,为此优选激励起的氮离子和氧离子的数量要少。又从使加在被处理基板上的损伤最小化的观点出发,也要使激励起的氮离子和氧离子的数量少。进一步,在图3所示的基板处理装置100中,激励起的氮自由基和氧自由基的数量也少,适合于在高电介质栅极绝缘膜下形成非常薄的氧氮化膜。
图8(A)和8(B)是分别表示使用图3的基板处理装置100在被处理基板W上形成氧氮化膜时的自由基的流动的侧面图和平面图。在图8中,在与前面说明的构成要素相同的部分上附加相同的参照标号,并省略对它们的说明。
实际上在上述被处理基板W上形成氧氮化膜的顺序如下所示。首先,将氩(Ar)气和从气体供给装置30送过来的调整到规定混合比的氮气和氧气供给远距离等离子体源26。通过在数百kHz的频率高频波激励起等离子体,形成规定混合比的氮自由基和氧自由基。形成的氮自由基和氧自由基沿被处理基板W的表面流动,经过排气口21A和泵24排出。结果,将上述处理空间21B设定在对于基板W的自由基氧氮化适当的6.65Pa~1.33kPa(0.05~10Torr)范围的处理压力中。这样一来,当氮自由基和氧自由基沿被处理基板W的表面流动时,在旋转的被处理基板W的表面上形成非常薄的典型的1~3原子层的氧氮化膜。
在图8(A)、8(B)所示的氧氮化膜形成中,在形成氧氮化膜前,也可以进行下面所示的清洗步骤。在清洗步骤中,打开阀门23A和23C,关闭阀门24A,使处理空间21B的压力降低到1.33×10-1~1.33×10-4Pa。在此后的氧氮化膜形成步骤中,关闭阀门23A和23C。涡轮分子泵23B不包含在处理空间21B的排气路径中。
通过附加上述的清洗步骤,可以清除残留在处理空间21B中的氧和水分。
又,如从图8(B)的平面图可以看到的那样,涡轮分子泵23B避开基板运送单元27,以向处理容器21的横侧突出的形式进行配置。
图9(A)、9(B)是表示图8(A)、8(B)所示的基板处理装置的变形例40的配置构成的侧面图和平面图。在与变形例有关的基板处理装置40中,变更涡轮分子泵23B的配置。图9中,在与前面说明的构成要素相同的部分上附加相同的参照标号,并省略对它们的说明。
如图9(A)和9(B)所示,在基板处理装置40中,将涡轮分子泵23B在与基板运送单元27相反一侧配置在处理容器21的外侧。通过这样做,在处理容器21上,在与基板运送单元27相反一侧形成与涡轮分子泵23B协同工作的排气口21E。
在与处理容器21的下部垂直的方向,即在上下配列吸气口和排气口的方向上,通过阀门23A与涡轮分子泵23B结合。涡轮分子泵23B的排气口,在阀门24A的下游侧与从处理容器21的排气口21A经过阀门24A到泵24的排气通道结合。
因为在基板处理装置40中,将涡轮分子泵23B配置在处理容器21的下侧,所以与图8(A)、8(B)所示的基板处理装置100的配置构成比较,能够减少基板处理装置的占有空间。
在图9(A)、9(B)的配置构成的基板处理装置40中,实际上在被处理基板W上形成氧氮化膜的顺序如下所示。
首先,将氩(Ar)气和从气体供给装置30送过来的调整到规定混合比的氮气和氧气供给远距离等离子体源26。通过在数百kHz的频率高频波激励起等离子体,形成规定混合比的氮自由基和氧自由基。形成的氮自由基和氧自由基沿被处理基板W的表面流动,经过排气口21A和泵24排出。结果,将处理空间21B设定在对于基板W的自由基氧氮化适当的6.65Pa~1.33kPa(0.05~10Torr)范围的处理压力中。当氮自由基和氧自由基沿上述被处理基板W的表面流动时,在旋转的被处理基板W的表面上形成非常薄的典型的1~3原子层的氧氮化膜。
在图9(A)、图9(B)所示的氧氮化膜形成中,在形成氧氮化膜前,也可以进行上述的清洗步骤。即,打开阀门23A和23C,关闭阀门24A,使处理空间21B的压力降低到1.33×10-1~1.33×10-4Pa。在此后的氧氮化处理中,关闭阀门23A和23C。涡轮分子泵23B不包含在处理空间21B的排气路径中。通过附加这样的清洗步骤,能够清除残留在处理空间21B中的氧和水分。
图10表示在远距离等离子体源26中供给氮气和氧气的气体供给装置30的构成。气体供给装置30由包含氮导入阀门31A的氮导入通道31、包含氧导入阀门32A的氧导入通道32、混合箱30A、和包含混合气体供给阀门33A的混合气体供给通道33构成。通过打开在氮导入通道31上的氮导入阀门31A,将氮导入混合箱30A。在混合箱30A中混合氧时,在供给氮期间,只短时间地打开氧导入阀门32A,从氧导入通道32将微量的氧导入混合箱内。可以通过氧导入阀门32A的打开时间调整混合的氧浓度。通过打开混合气体供给阀门33A从混合气体供给通道33向远距离等离子体源26供给在混合箱30A中混合的氮和氧。
通过调整在供给等离子体源26的混合气体中的氧对氮的浓度,能够调整形成的氮自由基和氧自由基的比。所以,可以在处理容器21内以所要的氮浓度,形成非常薄的、典型地1~3原子层的氧氮化膜。
又,与在形成氧化膜后使表面氮化形成氧氮化膜的情形比较,可以在一个连续的步骤中形成氧氮化膜,能够减少步骤数。结果,能够提高生产性。
下面,我们具体地说明控制形成的氧氮化膜的氮浓度的方法。
图11是取横轴为时间经过,表示上述氮供给阀门31A和氧供给阀门32A的开关定时的第一例的时序图。在供给氮和氧的混合气体期间打开氮供给阀门31A。氧供给阀门32A重复进行短时间打开关闭,经过一定时间后再次短时间打开关闭的动作,在氮中混入规定量的氧,调整到所要的氧浓度。当令这时的氧供给阀门32A的打开时间为t1,在打开氧供给阀门32A后到再打开的时间为S1时,通过调整t1和S1的值,能够调整混合在氮中的氧浓度。结果,能够调整在远距离等离子体源26中生成的氮自由基和氧自由基的比,可以将在被处理基板W上形成的氧氮化膜的氮浓度调整到所要的值。
这时,为了与氮化比较加速氧化的反应速度,能够将添加在氮中的氧浓度控制在约10ppm~600ppm,将氧氮化膜的氮浓度控制在10~40%。
氧氮化膜的形成条件,例如,当处理容器21的压力为6.65Pa~1.33kPa(0.05~10Torr)、Ar气流量为0.7~2slm、氮流量为0.05~0.9slm、氧流量为0~0.1slm、氮和氧的混合气体中的氧浓度为10ppm~600ppm、或氮、Ar和氧的混合气体中的氧浓度为10ppm~300ppm、被处理基板的温度为400~700℃时,形成的氧氮化膜中的氮浓度约为10~40%。
下面,在图12中,表示控制氮和氧的混合比的第二例。图12也表示取横轴为氮供给阀门31A和氧供给阀门32A的时间经过的时序图。
在图12的例子中,与图11的第一例比较,S1(在打开氧供给阀门32A后到再打开的时间)是相同的,但是打开氧供给阀门32A的时间t2比第一例中的时间t1短。因此混合的氧量减少。结果,在远距离等离子体源26中生成的氧自由基的量减少,能够抑制在被处理基板W上形成氧氮化膜时氧化反应。换句话说,在氧氮化膜形成步骤中,与第一例比较成为促进氮化的状态,能够增加形成的氧氮化膜中的氮浓度。
下面,在图13中,表示控制氮和氧的混合比的第3例,图13表示取横轴为氮供给阀门31A和氧供给阀门32A的时间经过的时序图。在图13的例子中,与图11所示的第一例比较,氧供给阀门32A的打开时间t1是相同的,但是在打开氧供给阀门32A后到再打开的时间S2比第一例中的S1长。因此混合的氧量减少。结果,在远距离等离子体源26中生成的氧自由基的量减少,能够抑制在被处理基板W上形成氧氮化膜时的氧化反应。换句话说,在氧氮化膜形成步骤中,与第一例比较成为促进氮化的状态,可以增加形成的氧氮化膜中的氮浓度。
下面,在图14中,表示控制氮和氧的混合比的第四例,图14表示取横轴为氮供给阀门31A和氧供给阀门32A的时间经过的时序图。在图14的例子中,在同一个氧氮化步骤内,将图11所示的第一例和图12所示的第二例组合起来。
立即接在供给开始后的期间A是氧氮化步骤的前半部分,与第一例相同,氧阀门打开时间和氧供给周期分别设定为t1、S1。此后,在是氧氮化步骤的后半部分的期间B中,在将氧供给周期维持在S1的状态中,使氧阀门打开时间从t1变更到t2(t1>t2)。在氧氮化步骤的后半部分,通过缩短氧供给阀门32A的打开时间,减少氧的混合量。所以,在氧氮化膜形成步骤中,与前半部分(期间A)比较成为促进氮化的状态,可以在氧氮化膜形成步骤的后半部分增加形成的氧氮化膜中的氮浓度。即,能够以在氧氮化膜的厚度方向提高氮浓度的方式调整氮浓度。
在实际的半导体装置中,当考虑器件特性时,在接近硅(Si)基板的部分,即氧氮化步骤的前半部分中,为了容易平坦地形成硅和氧氮化膜的界面,要求氮浓度低。又,在氧氮化膜上形成的高电介质膜附近的部分,即在氧氮化步骤的后半部分中形成的部分中,为了防止金属与硅相互扩散,氮浓度要高。在本实施例中,在氧氮化膜的厚度方向,可以形成满足调整到上述那样的器件特性要求的氮浓度的氧氮化膜。
下面,在图15中,表示控制氮和氧的混合比的第五例,图15表示取横轴为氮供给阀门31A和氧供给阀门32A的时间经过的时序图。在图15的例子中,在同一个氧氮化步骤内,将图11所示的第一例和图13所示的第三例组合起来。
在紧接在供给开始后的期间A中表示的氧氮化步骤的前半部分中,与第一例相同,氧阀门打开时间和氧供给周期S1分别设定为t1、S1。此后,在期间B表示的氧氮化步骤的后半部分中,使氧阀门打开时间维持t1不变,将氧供给周期S1变更到S2(S1<S2)。所以,相对于氮供给的氧的混合量减少,在形成氧氮化膜的后半部分,与前半部分比较成为促进氮化的状态,可以在膜厚方向增加氧氮化膜中的氮浓度。
这样,通过调整氧供给阀门32A的打开时间t和氧供给周期(氧供给阀门32A的打开周期)S中的至少一方,可以一面适当地控制在氧氮化膜的厚度方向上的氮浓度,一面能够用单一的步骤形成非常薄的基底氧化膜和氧氮化膜。
又,作为在氮自由基中添加氧自由基的方法,不限定于在氮气中添加氧气的方法,包含氮和氧的任意气体组合都是可以的。例如,在氮气中添加NO气,在NO气中添加氧气等的方法都是可以的。
根据本发明,能够用单一的步骤在硅基板表面上形成非常薄的、典型地1~3原子层的氧氮化膜。
又,可以在形成上述氧氮化膜的深度方向上将上述氧氮化膜控制成所要的氮浓度。
以上,我们用优选实施例说明了本发明,但是本发明不限定于上述的特定实施例,在权利要求书所述的要旨内可以进行种种变形·变更。

Claims (20)

1.一种在基板上形成绝缘膜的方法,其特征在于:该方法包含,
由高频等离子体形成氮自由基和氧自由基的步骤;和
通过将所述氮自由基和所述氧自由基供给被处理基板表面在所述被处理基板表面上形成绝缘膜的步骤。
2.一种在基板上形成绝缘膜的方法,其特征在于,该方法包含:
将氮气或氮化物气体和氧气或氧化物气体混合起来生成混合气体的步骤;
通过由高频等离子体激励所述混合气体,形成氮自由基和氧自由基的步骤;
将所述氮自由基和所述氧自由基供给包含硅的被处理基板表面的步骤;和
由所述氮自由基和所述氧自由基,在所述被处理基板表面上形成包含氮的绝缘膜的步骤。
3.根据权利要求2所述的方法,其特征在于:
所述被处理基板是硅基板,所述包含氮的绝缘膜是氧氮化膜。
4.根据权利要求2所述的方法,其特征在于:
所述生成混合气体的步骤包含随着时间改变在所述混合气体中包含的所述氧气或氧化物气体对所述氮气或氮化物气体的比例的步骤。
5.根据权利要求2所述的方法,其特征在于:
向所述被处理基板供给自由基的步骤使所述氮自由基和氧自由基附随着以沿被处理基板的表面流动的方式形成的气体流,供给所述被处理基板。
6.根据权利要求5所述的方法,其特征在于:
所述气体流是以从所述被处理基板的第一侧流动到在直径方向上对置的第二侧的方式形成的。
7.根据权利要求2所述的方法,其特征在于:
所述高频等离子体是通过以400~500kHz的频率激励氮气和氧气形成的。
8.一种半导体装置的制造方法,其特征在于,它包含:
由高频等离子体形成氮自由基和氧自由基的步骤;
将所述氮自由基和氧自由基供给半导体基板表面对基板表面进行处理的步骤;和
在所述经过表面处理的基板上形成活性元件的步骤。
9.一种半导体装置的制造方法,其特征在于,它包含:
将氮气或氮化物气体和氧气或氧化物气体混合起来形成混合气体的步骤;
通过由高频等离子体激励所述混合气体,形成氮自由基和氧自由基的步骤;
将所述氮自由基和所述氧自由基供给包含硅的被处理基板表面的步骤;
由所述氮自由基和所述氧自由基,在所述被处理基板表面上形成包含氮的绝缘膜的步骤;和
在具有所述绝缘膜的被处理基板上形成半导体元件的步骤。
10.根据权利要求9所述的方法,其特征在于:
所述被处理基板是硅基板,所述包含氮的绝缘膜是氧氮化膜。
11.根据权利要求9所述的方法,其特征在于:
所述生成混合气体的步骤包含随着时间改变在所述混合气体中包含的所述氧气或氧化物气体对所述氮气或氮化物气体的比例的步骤。
12.根据权利要求9所述的方法,其特征在于:
向所述被处理基板供给自由基的步骤使所述氮自由基和氧自由基附随着以沿被处理基板的表面流动的方式形成的气体流,供给所述被处理基板。
13.根据权利要求12所述的方法,其特征在于:
所述气体流是以从所述被处理基板的第一侧流动到在直径方向上对置的第二侧的方式形成的。
14.根据权利要求9所述的方法,其特征在于:
所述高频等离子体是通过以400~500kHz的频率激励氮气和氧气形成的。
15.根据权利要求9所述的方法,其特征在于:
它进一步包含在所述绝缘膜上形成高电介质材料的栅极绝缘膜的步骤。
16.一种基板处理装置,其特征在于,它备有:
由高频等离子体形成氮自由基和氧自由基的自由基形成部;和
保持被处理基板的处理容器,
所述自由基形成部具有将形成的氮自由基和氧自由基供给所述处理容器的供给通道,通过将所述氮自由基和所述氧自由基供给保持在所述处理容器内的被处理基板表面,在所述被处理基板表面上形成绝缘膜。
17.根据权利要求16所述的基板处理装置,其特征在于,它进一步备有:
与所述自由基形成部连接的、控制包含氮的第一原料气体和包含氧的第二原料气体的混合比,将所要混合比的混合气体供给所述自由基形成部的气体供给部;
通过在所述气体供给部中控制所述第一原料气体和所述第二原料气体的混合比,对在所述自由基形成部中生成的、供给所述处理容器的所述氮自由基和所述氧自由基的供给比进行控制。
18.根据权利要求16所述的基板处理装置,其特征在于:
所述自由基形成部,位于处理容器的侧面,形成沿所述被处理基板的表面流动的气体流,使所述氮自由基和所述氧自由基附随着沿所述被处理基板表面的气体流供给所述被处理基板的表面。
19.根据权利要求16或18所述的基板处理装置,其特征在于:
所述处理容器在与自由基形成部的供给通道的相反一侧具有排出所述氮自由基和氧自由基的排气口。
20.根据权利要求16所述的基板处理装置,其特征在于:
所述自由基形成部进一步具有在所述混合气体上加上400kHz~500kHz的频率进行激励的频率施加部件。
CNB038162083A 2002-09-19 2003-09-19 在基板上形成绝缘膜的方法、半导体装置的制造方法和基板处理装置 Expired - Fee Related CN100359649C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002273709A JP3594947B2 (ja) 2002-09-19 2002-09-19 絶縁膜の形成方法、半導体装置の製造方法、基板処理装置
JP273709/2002 2002-09-19

Publications (2)

Publication Number Publication Date
CN1666324A true CN1666324A (zh) 2005-09-07
CN100359649C CN100359649C (zh) 2008-01-02

Family

ID=32024966

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038162083A Expired - Fee Related CN100359649C (zh) 2002-09-19 2003-09-19 在基板上形成绝缘膜的方法、半导体装置的制造方法和基板处理装置

Country Status (7)

Country Link
US (1) US7378358B2 (zh)
JP (1) JP3594947B2 (zh)
KR (1) KR100701714B1 (zh)
CN (1) CN100359649C (zh)
AU (1) AU2003264511A1 (zh)
TW (1) TWI292172B (zh)
WO (1) WO2004027852A1 (zh)

Families Citing this family (286)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100800639B1 (ko) * 2003-02-06 2008-02-01 동경 엘렉트론 주식회사 플라즈마 처리 방법, 반도체 기판 및 플라즈마 처리 장치
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
JP4088275B2 (ja) * 2004-07-15 2008-05-21 東京エレクトロン株式会社 絶縁膜の形成方法
US7235502B2 (en) * 2005-03-31 2007-06-26 Freescale Semiconductor, Inc. Transitional dielectric layer to improve reliability and performance of high dielectric constant transistors
KR100740108B1 (ko) * 2005-09-12 2007-07-16 삼성에스디아이 주식회사 배터리 관리 시스템 및 soc 판정 방법
KR100740106B1 (ko) * 2005-09-12 2007-07-16 삼성에스디아이 주식회사 배터리 관리 시스템 및 그의 구동 방법
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
US7564114B2 (en) * 2006-12-21 2009-07-21 Qimonda North America Corp. Semiconductor devices and methods of manufacture thereof
FR2940322B1 (fr) * 2008-12-19 2011-02-11 Alcatel Lucent Procede de descente en pression dans un sas de chargement et de dechargement et equipement associe
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120037838A (ko) * 2010-10-12 2012-04-20 삼성전자주식회사 트랜지스터 및 이를 포함하는 전자소자
US20120241874A1 (en) * 2011-03-25 2012-09-27 Byung-Dong Kim Gate oxide film including a nitride layer deposited thereon and method of forming the gate oxide film
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2016013131A1 (ja) 2014-07-25 2016-01-28 東芝三菱電機産業システム株式会社 ラジカルガス発生システム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN107075676B (zh) 2014-10-29 2019-08-02 东芝三菱电机产业系统株式会社 针对成膜装置的气体喷射装置
EP3214204A4 (en) 2014-10-29 2018-06-13 Toshiba Mitsubishi-Electric Industrial Systems Corporation Gas jetting device
EP3214906B1 (en) 2014-10-29 2020-12-09 Toshiba Mitsubishi-Electric Industrial Systems Corporation Electrical discharge generator
JP6086933B2 (ja) * 2015-01-06 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20190055648A1 (en) 2016-01-06 2019-02-21 Toshiba Mitsubishi-Electric Insustrial Systems Cor Gas supply apparatus
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6725606B2 (ja) * 2018-08-30 2020-07-22 株式会社日本アクア ウレタン樹脂組成物および建築物の断熱方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7437254B2 (ja) 2020-07-14 2024-02-22 エドワーズ株式会社 真空ポンプ、及び、真空ポンプの洗浄システム
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000345349A (ja) * 1999-06-04 2000-12-12 Anelva Corp Cvd装置
JP2001044419A (ja) 1999-07-14 2001-02-16 Texas Instr Inc <Ti> 高k誘電体を有するゲート積層の形成方法
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP3399413B2 (ja) 1999-09-13 2003-04-21 日本電気株式会社 酸窒化膜およびその形成方法
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6342437B1 (en) * 2000-06-01 2002-01-29 Micron Technology, Inc. Transistor and method of making the same
JP2002134503A (ja) 2000-10-18 2002-05-10 Applied Materials Inc 成膜方法及び装置
JP2002170825A (ja) * 2000-11-30 2002-06-14 Nec Corp 半導体装置及びmis型半導体装置並びにその製造方法
US6436771B1 (en) * 2001-07-12 2002-08-20 Taiwan Semiconductor Manufacturing Company Method of forming a semiconductor device with multiple thickness gate dielectric layers
US20030146310A1 (en) * 2001-08-17 2003-08-07 Jackson David P. Method, process and apparatus for high pressure plasma catalytic treatment of dense fluids

Also Published As

Publication number Publication date
TWI292172B (en) 2008-01-01
AU2003264511A1 (en) 2004-04-08
KR20050057255A (ko) 2005-06-16
KR100701714B1 (ko) 2007-03-29
JP3594947B2 (ja) 2004-12-02
TW200411720A (en) 2004-07-01
US7378358B2 (en) 2008-05-27
CN100359649C (zh) 2008-01-02
JP2004111739A (ja) 2004-04-08
WO2004027852A1 (ja) 2004-04-01
US20060009044A1 (en) 2006-01-12

Similar Documents

Publication Publication Date Title
CN1666324A (zh) 在基板上形成绝缘膜的方法、半导体装置的制造方法和基板处理装置
CN100347832C (zh) 电子器件材料的制造方法
US7655574B2 (en) Method of modifying insulating film
US7723242B2 (en) Enhanced thin-film oxidation process
US10804098B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN1873927A (zh) 等离子体处理方法
CN1881541A (zh) 半导体工艺的成膜方法和装置
CN1669153A (zh) 半导体器件及其制造方法和制造设备
JP2012506640A (ja) 窒化シリコン電荷トラップ層を有する不揮発性メモリ
CN1820373A (zh) 栅极绝缘膜的形成方法、存储介质、计算机程序
CN1967780A (zh) 用于制作场效应晶体管的栅极电介质的方法
CN1431716A (zh) 半导体装置及半导体装置的制造方法
CN1659692A (zh) 基板处理方法
CN101151717A (zh) 用于形成高介电常数电介质层的方法和系统
CN1837404A (zh) 成膜装置和成膜方法
WO2007040749A2 (en) A method of forming a silicon oxynitride film with tensile stress
CN101051606A (zh) 立式等离子体处理装置和半导体处理方法
CN1860596A (zh) 电子器件材料的制造方法
CN1459126A (zh) 形成介电薄膜的方法
US9018689B1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
CN101401194B (zh) 使用低能量等离子体系统制造高介电常数晶体管栅极的方法和装置
CN1926670A (zh) 等离子体处理方法和计算机存储介质
CN101044626A (zh) 栅极绝缘膜的形成方法、半导体装置和计算机记录介质
CN1427454A (zh) 半导体元件的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080102

Termination date: 20140919

EXPY Termination of patent right or utility model