CN101151717A - 用于形成高介电常数电介质层的方法和系统 - Google Patents

用于形成高介电常数电介质层的方法和系统 Download PDF

Info

Publication number
CN101151717A
CN101151717A CNA2006800108255A CN200680010825A CN101151717A CN 101151717 A CN101151717 A CN 101151717A CN A2006800108255 A CNA2006800108255 A CN A2006800108255A CN 200680010825 A CN200680010825 A CN 200680010825A CN 101151717 A CN101151717 A CN 101151717A
Authority
CN
China
Prior art keywords
gas
plasma
nitrogenize
molecular composition
induced
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800108255A
Other languages
English (en)
Inventor
考利·瓦吉达
井下田真信
格特·莱乌辛克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101151717A publication Critical patent/CN101151717A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/34Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in more than one step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Inorganic Insulating Materials (AREA)

Abstract

本发明提供了一种在衬底上制备用于高介电常数电介质层的界面层的方法。将所述衬底的表面暴露于氧自由基以形成氧化物膜,所述氧自由基通过第一处理气体的紫外(UV)辐射诱导解离而形成,所述第一处理气体包含至少一种包含氧的分子组合物。将氧化物膜暴露于氮自由基以氮化所述氧化物,从而形成界面层,所述氮自由基通过第二处理气体的等离子体诱导解离而形成,所述第二处理气体包含至少一种包含氮的分子组合物。高介电常数电介质层形成在所述界面层上。

Description

用于形成高介电常数电介质层的方法和系统
相关申请交叉引用
本申请是以2005年3月30日提交的美国专利申请No.11/093261为基础,并要求其优先权。
技术领域
本发明一般性地涉及适于制造电子器件的方法和系统以及用于电子器件的材料。
发明内容
本发明一般性地涉及为衬底上的高介电常数(高k)电介质层制备界面层。将所述衬底的表面暴露于氧自由基以形成氧化物膜,所述氧自由基通过第一处理气体的紫外(UV)辐射诱导解离而形成,所述第一处理气体包含至少一种包含氧的分子组合物。将氧化物膜暴露于氮自由基以氮化所述氧化物,从而形成界面层,所述氮自由基通过第二处理气体的等离子体诱导解离而形成,所述第二处理气体包含至少一种包含氮的分子组合物。高k电介质层形成在所述界面层上。
附图说明
图1示出了根据一种实施方式的用于在衬底上形成氧氮化物层的处理系统1;
图2示出了根据一种实施方式的用于进行氧化工艺的处理系统的示意图;
图3示出了根据一种实施方式的另一种处理系统;
图4示出了根据一种实施方式的用于处理栅极叠层的包含缝隙平面天线(SPA)等离子体源的等离子体处理系统。
具体实施方式
UVO2氧化
现在参见附图,图1示出了用于在衬底上形成氧氮化物层的处理系统1。例如,衬底可以包括硅衬底,氧氮化物层可以包括通过衬底的氧化和氮化所形成的氧氮化硅层。衬底表面可以是硅表面、氧化物表面或氧化硅表面。处理系统1包括被设置用于将含氧的分子组合物引至衬底的氧化系统10以及被设置用于将含氮的分子组合物引至衬底的氮化系统20。此外,处理系统1还包括耦合至氧化系统10和氮化系统20的控制器30,控制器30被设置用于对氧化系统10和氮化系统20中进行的工艺进行监测、调节或控制中的至少一种。尽管氧化系统10和氮化系统20在图1中被示为独立分开的模块,但是它们可以包含相同的模块。
根据一种实施方式,图2示出了进行氧化工艺的处理系统的示意图。处理系统101包括具有衬底支架120的处理室110,所述衬底支架120被设置用于支撑具有硅(Si)表面的衬底125。处理室110还包括电磁辐射组件130,用于将衬底125暴露于电磁辐射。另外,处理系统101包括耦合至电磁辐射组件130的功率源150以及耦合至衬底支架120并被设置用于升高和控制衬底125温度的衬底温度控制系统160。气体供给系统140耦合至处理室110,其被设置用于将处理气体引至处理室110。例如,在氧化工艺中,处理气体可以包括含氧气体,例如O2、NO、NO2或N2O。引入处理气体的流率可为约30sccm-约5slm,包括30、35、40、45、50、55、60、65、70、75、80、85、90、100、250、275、300、400、500、600、700、800、900或1000sccm,或2、3、4、5slm,或其任意组合。此外(未示出),净化气体可被引入处理室110。处理气体可以包括惰性气体,例如氮气或稀有气体(即,氦、氖、氩、氙、氪)。净化气体的流率可为约0-5slm,包括0、1、2、3、4、5、6、7、8、9、10、20、30、35、40、45、50、55、60、65、70、75、80、85、90、100、250、275、300、400、500、600、700、800、900或1000sccm,或2、3、4、5slm,或其任意组合。
电磁辐射组件130可以例如包括紫外(UV)辐射源。UV源可为单色或多色。此外,UV源可被设置用于产生足以解离处理气体(即O2)的波长的辐射。在一种实施方式中,紫外辐射的波长为约145-192nm,包括145、147、150、155、171、172、173、175、180、185、190和192nm,这对被解离分子的结合能是适宜的。电磁辐射组件130的操作功率可为约5-50mW/cm2,包括5、6、7、8、9、10、11、13、15、17、19、20、30、40、50mW/cm2,或其任意组合。电磁辐射组件130可以包括1、2、3、4或更多个辐射源。辐射源可以包括灯或激光或其组合。
仍参见图2,处理系统101可被设置用于处理200mm衬底、300mm衬底或更大尺寸的衬底。实际上,本领域技术人员应当理解,处理系统可被设置用于处理任意尺寸的衬底、晶圆或LCD。因此,虽然本发明的各个方面是结合半导体衬底处理来描述的,但本发明并不仅限于此。
再次参见图2,处理系统101包括耦合至衬底支架120的衬底温度控制系统160,其被设置用于升高和控制衬底125温度。衬底温度控制系统160包括温度控制元件,例如可包括电阻加热元件和热电加热器/冷却器的加热系统。此外,衬底温度控制系统160可以包括含有再循环冷却剂流的冷却系统,该流接收来自衬底支架120的热量并将其传递至热交换器系统
(未示出),或者在加热时传递来自热交换器系统的热量。而且,衬底温度控制系统160可以包括布置在处理室110的室壁中的温度控制元件以及布置在处理系统101内的其他部件。
为了改善衬底125与衬底支架120之间的热传递,衬底支架120可以包括机械夹持系统或电夹持系统(例如,静电夹持系统),从而将衬底125紧附在衬底支架120的上表面。此外,衬底支架120还可包括衬底背面气体输送系统,其被设置用于将气体引至衬底125的背面,从而改善衬底125与衬底支架120之间的气隙热传导。当需要在升高或降低的温度下对衬底温度进行控制时,可以采用这样的系统。例如,衬底背面气体系统可以包括双区气体分布系统,其中氦气隙的压力可以在衬底125的中央与边缘之间独立变化。
此外,处理室110进一步通过管道138耦合至包括真空泵吸系统134和阀136的压力控制系统132,其中压力控制系统134被设置用于将处理室110可控地抽空至适于在衬底125上形成薄膜并且适于使用第一和第二处理材料的压力。
真空泵吸系统134可以包括泵吸速率可达约5000升每秒(和更大)的涡轮分子真空泵(TMP),阀136可以包括用于调节室压力的闸式阀。在常规的等离子体处理设备中,通常使用约500-3000升每秒的TMP。此外,监测室压力的设备(未示出)可被耦合至处理室10。压力测量设备可以例如是可从MKS Instruments,Inc.(Andover,MA)购得的628BBaratron型绝对电容压力计。
另外,处理系统101包括耦合至处理室110、衬底支架120、电磁辐射组件130、功率源150和衬底温度控制系统160的控制器170。或者,或另外,控制器170可以耦合至一个或更多个附加控制器/计算机(未示出),并且控制器170可以从附加控制器/计算机获得设置和/或配置信息。
在图2中,示出了单个处理元件(110、120、130、150、160和170),但这并非本发明所必需。处理系统1除了独立的处理元件之外还可以包括任意数量的处理元件,所述处理元件可具有与其相连的任意数量的控制器。
控制器170可用于设置任何数量的处理元件(110、120、130、150和160),并且控制器170可以收集、提供、处理、存储和显示来自处理元件的数据。控制器170可以包含一系列用于控制一个或多个处理元件的应用。例如,控制器170可以包括图形用户界面(GUI)部件(未示出),可为用户监测和/或控制一种或更多个处理元件提供易于使用的界面。
仍参见图2,控制器170包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到处理系统101的输入,以及监视来自处理系统101的输出。例如,可以利用存储在存储器中的程序根据工艺制程激活到处理系统101的上述部件的输入,从而实施工艺。控制器170的一个实例是可从Dell Corporation,Austin,Texas获得的DELLPRECISION WORKSTATION 610TM。
控制器170可以相对于处理系统101本地定位,或可以相对于处理系统101远程定位。例如,控制器170可以使用直接连接、内部网、因特网和无线连接中的至少一种与处理系统101交换数据。例如,控制器170可以在客户端(即,器件制造者)连接到内部网,或者例如在卖方端(即,装置制造商)连接到内部网。此外,控制器170可以连接至因特网。此外,其它计算机(即,控制器、服务器等)可以通过直接连接、内部网和因特网中的至少一种访问控制器170以交换数据。本领域技术人员应当理解,控制器170还可以通过无线连接与处理系统101交换数据。
处理条件还可以包括衬底温度为约0-1000℃。或者,衬底温度可为约200-700℃。因此,进行氧化的衬底温度可为200、225、250、275、300、325、350、375、400、450、500、550、600、650、700、750、800、850、900、950或1000℃,或其任意组合。
例如,可将处理室110中的压力保持在约10-30000mTorr。或者,可将该压力保持在约20-1000mTorr。或者,可将该压力保持在约50-500mTorr。因此,进行氧化的压力可为约1-30000mTorr,包括1、2、3、4、5、6、7、8、9、10、20、30、40、50、60、70、80、90、100、250、500、750、1000、10000、20000或30000mTorr,或其任意组合。
图3为根据本发明的另一种实施方式的处理系统的示意图。处理系统200包括处理室210,处理室210中包括装备有加热器224的衬底支架220,加热器224被设置用于升高衬底225的温度,其可以是电阻加热器。或者,加热器可以是灯加热器或任意其它类型的加热器。此外,处理室210包括连接至处理室210底部和连接至真空泵234的排气管线238。衬底支架220可以通过驱动机构(未示出)旋转。衬底在衬底表面平面上旋转的速率可为约1-60rpm,包括1、2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、17、18、19、20、30、40、50或60rpm,或其任意组合。
处理室210包括在衬底225上方的处理空间245。处理室210的内表面包括由石英制成的内衬212,从而抑制待处理衬底225的金属污染。
处理室210包括与排气管线238相对定位的具有喷嘴242的气体管线240,用于将处理气体流到衬底225上。处理气体在处理空间中以层流方式流过衬底225,并通过排气管线238从处理室210排出。远程等离子体源252与气体进口250相连,用于在衬底225上游远程产生等离子体。
在一个实施例中,可将衬底225暴露于来自紫外辐射源230的紫外辐射,所述紫外辐射源230通过石英窗口232将光发射到喷嘴242与衬底225之间的处理空间245中。或者,紫外辐射源230和石英窗口232可以覆盖整个衬底225。
仍参见图3,控制器270包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到处理系统200的输入,以及监视来自等离子体处理系统200的输出。而且,控制器270耦合至处理室210、泵234、加热器224、紫外辐射源230和远程等离子体源252,并与其交换信息。控制器270可以实现为UNIX工作站。或者,控制器270可以实现为通用计算机、数字信号处理系统等。
可能期望的是,在氧化之前清洁衬底表面,或从衬底表面去除本生氧化物(native oxide)。这可以通过以下方法实现:使用一个或更多个清洁步骤,包括湿化学清洁;通过清洁然后使衬底表面与HF接触,在衬底表面上形成裸露硅表面;或上述两者结合。
然后,将衬底125布置在衬底支架120(图1)或220(图2)上。然后使处理室110或210中的条件(压力、温度、衬底旋转速率等)处于期望值。然后,将含氧的分子组合物通过气体供给系统140或喷嘴242引入处理室110或210。供给电磁辐射组件130或230能量,以由处理气体形成氧自由基。在图3的实施方式中,可以通过将含氧的分子组合物供给至进口250来提高氧自由基的数量。氧自由基在气体通过远程等离子体源252时生成,然后被引入处理室210。
氧自由基与衬底125表面结合,从而氧化衬底表面。表面组成可以是SiO2
进行氧化的时间可为约5秒-约25分钟,包括5、10、15、20、25、30、35、40、50、60秒,2、3、4、5、6、7、8、9、10、15、20、25分钟,或其任意组合。
氧化物膜的厚度可为约0.1-3nm,包括0.1、0.2、0.3、0.4、0.5、0.6、0.7、0.8、0.9、1、1.1、1.2、1.3、1.4、1.5、1.6、1.7、1.8、1.9、2、2.1、2.2、2.3、2.4、2.5、2.6、2.7、2.8、2.9、3.0nm。氧化物膜的厚度方差σ可为约0.2-4%,包括0.2、0.3、0.5、0.7、0.9、1、2、3或4%。
图2或图3的实施方式中的上述任意处理条件也可以应用于其它实施方式。事实上,作为上述条件的替代条件,可以采用以下条件:
UVO2
  参数   典型值   下限   上限
  压力   0.1T   0.01T   20T
  温度   700℃   400℃   800℃
  Ar气   0   0   2slm
  O2   450sccm   100sccm   2slm
  时间   60s   10s   3min
其它合适的包括紫外(UV)辐射源的处理系统及其使用方法描述在2002年12月5日提交的欧洲专利申请EP 1453083A1中,通过引用将其全文结合于此。
氮化
图4为根据本发明的一种实施方式的包括用于进行氮化工艺的缝隙平面天线(Slot Plane Antenna,SPA)等离子体源的等离子体处理系统的简化框图。根据本发明,等离子体处理系统400中产生的等离子体的特征是低电子温度(小于约1.5eV)和高等离子体密度(例如,>约1×1012/cm3),这可使栅极叠层在处理过程中免受损坏。等离子体处理系统400可以例如是Tokyo Electron Limited,Akasaka,Japan的TRIASTM SPA处理系统。等离子体处理系统400包括处理室450,在处理室450的上部具有大于衬底458的开口部分451。提供由石英或氮化铝或氧化铝制成的柱状电介质顶板454来覆盖开口部分451。气体管线472定位在处理室450上部低于顶板454的侧壁中。在一个实施例中,气体管线472的数量可为16条(图4中仅示出两条)。或者,可以使用不同数量的气体给料管线472。气体管线472可以在处理室450中沿圆周布置,但这并非本发明所必需。通过气体管线472,可将处理气体平均和均匀地供给到处理室450中的等离子体区域459中。或者,相对于排气管线的衬底458的上游侧的给料管线472可被设置为适用于氮化的远程RF等离子体源。
在等离子体处理系统450中,通过具有多个缝隙460A的平面天线构件460,通过顶板454向处理室450提供微波功率。缝隙平面天线460可由金属板(例如,铜)制成。为了将微波功率供给至缝隙平面天线460,将波导463布置在顶板454上,波导463在此与微波功率源461连接,用于产生例如约2.45GHz频率的微波。波导463包括下端连接至缝隙平面天线460的扁平环状波导463A、连接至环状波导463A上表面的环状波导463B以及连接至环状波导463B上表面的同轴波导转换器463C。此外,矩形波导463D连接至同轴波导转换器463C和微波功率源461。
在环状波导463B内部,以同轴方式提供导电材料的轴向部分462,以使轴向部分462的一端连接至缝隙平面天线460上表面的中央(或接近中央)部分,并且轴向部分462的另一端连接至环状波导463B上表面,从而形成同轴结构。结果,环状波导463B被构造成起到同轴波导的作用。微波功率可以例如为约0.5-4W/cm2。或者,微波功率可为约0.5-3W/cm2
此外,在真空处理室450中,在顶板454对面提供衬底支架452,用于支撑和加热衬底458(例如,晶圆)。衬底支架452包括用于加热衬底458的加热器457,所述加热器457可以是电阻加热器,或者,加热器457可以是灯加热器或任何其它类型的加热器。而且,处理室450包括连接至处理室450底部和真空泵455的排气管线453。
为了氮化,可以将包含含氮的分子组合物的气体引入系统20(图1)、处理室110(图2)、210(图3)和/或450(图4)中的任意一个。所有的含氮组合物均是合适的,例如单独或组合的N2、NH3、NO、N2O、NO2。一旦被引入,含氮组合物即可以通过如下方法解离:基于通过具有多个缝隙的平面天线的微波辐射的微波辐射等离子体诱导解离,或室内等离子体诱导解离,或者可以通过定位在衬底上游的RF等离子体源通过将RF功率与含氮组合物相耦合来将其分解。
所有的含氮组合物均是合适的,例如单独或组合的N2、NO、N2O、NO2。在一种实施方式中,氮化、氧氮化或退火处理气体中的分子组合物可以包含N2以及至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的气体。在一种实施方式中,第二处理气体中的分子组合物包含N2和H2以及可选的至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的气体。处理气体中的含氮的分子组合物可以适宜地包含N2,并且氮自由基通过N2的等离子体诱导解离生成。
氮化得到的氧氮化物膜的厚度可为约0.1-5nm,包括0.1、0.2、0.3、0.4、0.5、0.6、0.7、0.8、0.9、1、1.1、1.2、1.3、1.4、1.5、1.6、1.7、1.8、1.9、2、2.1、2.2、2.3、2.4、2.5、2.6、2.7、2.8、2.9、3.0、3.1、3.2、3.3、3.4、3.5、3.6、3.8、4、4.1、4.5或5nm,或其任意组合。氧氮化物膜的厚度方差σ可为约0.2-4%,包括0.2、0.3、0.5、0.7、0.9、1、2、3或4%。
进行氮化的衬底温度可为约20-1000℃,包括20、30、40、50、60、70、80、90、100、125、150、175、200、225、250、275、300、325、350、375、400、450、500、550、600、650、700、750、800、850、900、950或1000℃,或其任意组合。
进行氧化的压力可为约1-30000mTorr,包括1、2、3、4、5、6、7、8、9、10、20、30、40、50、60、70、80、90、100、250、500、750、1000、10000、20000或30000mTorr,或其任意组合。
含氮的分子组合物N2的流率可为约2sccm-约5slm,第二气体的流率可为约100sccm-约5slm。这些范围包括2、3、4、5、6、7、8、9、10、20、30、35、40、45、50、55、60、65、70、75、80、85、90、100、250、275、300、400、500、600、700、800、900或1000sccm,或2、3、4、5slm,或其任意组合。
进行氮化的时间可为约5秒-约25分钟,包括5、10、15、20、25、30、35、40、50、60秒,2、3、4、5、6、7、8、9、10、15、20、25分钟,或其任意组合。
氧氮化物膜的氮浓度可为约20%或更小,包括4、6、8、10、12、14、16、18和20%或更小。
产生氮化等离子体的微波输出可为约0.5-5mW/cm2,包括0.5、0.6、0.7、0.8、0.9、1、1.1、1.3、1.5、1.7、1.9、2、3、4或5mW/cm2,或其任意组合。
微波辐射具有的微波频率可为约300MHz-约10GHz,包括300、400、500、600、700、800、900或1000MHz,1.5、2、3、4、5、6、7、8、9或10GHz。
在此实施方式中,等离子体具有的电子温度可小于约3eV,包括0.1、0.3、0.5、0.7、0.9、1、1.5、2、2.5或3eV,或其任意组合。等离子体的密度可为约1×1011-1×1013/cm3或更高,密度均匀度为约±3%或更小,包括±1、±2和±3%。
平面天线构件的表面积可以大于其上沉积膜的衬底表面的面积。
等离子体室可以用石英作为内衬,以防止金属污染。
带孔水平板(未示出)可定位在顶板454与衬底125之间,以减少到达衬底的氮自由基的量。该板可由石英、氧化铝、氮化铝或其它材料制成。孔在该板上的图案被设计成可使自由基均匀暴露于衬底。
氧氮化物膜可以适宜地具有式SiON。
仍参见图4,控制器499包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到等离子体处理系统400的输入,以及监视来自等离子体处理系统400的输出。而且,控制器499耦合至处理室450、泵455、加热器457和微波功率源461,并与其交换信息。可以利用存储在存储器中的程序根据工艺制程控制等离子体处理系统400的上述部件。处理系统控制器499的一个实例为UNIX工作站。或者,控制器499可以实现为通用计算机、数字信号处理系统等。
控制器499可以相对于等离子体处理系统400本地定位,或可以相对于等离子体处理系统400通过内部网或因特网远程定位。因此,控制器499可以使用直接连接、内部网、因特网和无线连接中的至少一种与等离子体处理系统400交换数据。控制器499可以在客户端(即,器件制造者)连接到内部网,或者例如在卖方端(即,装置制造商)连接到内部网。此外,其它计算机(即,控制器、服务器等)可以通过直接连接、内部网和因特网中的至少一种访问控制器499以交换数据。
作为上面提出的那些参数的替代方案,下面给出一组备选的用于SPA氮化的参数:
SPAN
  参数   典型值   下限   上限
  压力   50mT   10mT   10T
  温度   400℃   25℃   800℃
  Ar气   1slm   100slm   5slm
  N2   40sccm   5sccm   1slm
  时间   20s   5s   5min
其它合适的包括缝隙平面天线等离子体源的等离子体处理系统及其使用方法描述在2002年1月22日提交的欧洲专利申请EP 1361605A1中,通过引用将其全文结合于此。
除了利用图4装置的SPA氮化以外或在其之后,可以进行RFN氮化。可将氧化物膜(或氧氮化物膜)暴露于氮自由基,所述氮自由基通过包含含氮的上游分子组合物的上游处理气体的上游等离子体诱导解离而形成,其中所述上游等离子体诱导解离包括使用通过将射频(RF)耦合至所述上游处理气体所产生的等离子体。RFN远程等离子体系统示于图3和图4。
图3所示的处理系统包括具有气体进250的远程等离子体源252,其适于在衬底125上游远程产生等离子体。远程等离子体源252中产生的氮等离子体向下游流动,经过衬底125的表面,流至排气管线238和泵234。在图3的处理系统中,衬底可以旋转(如环形箭头所示)。以此方式,可以改善氮气氛下的氮化、氧氮化或退火的均匀性。
或者,远程RF等离子体源可包含在给料管线472中,并适合作为用于氮化的远程RF等离子体。
下面给出可以使用的RF氮化参数:
RFN
  参数   典型值   下限   上限
  压力   200mT   10mT   10T
  温度   400℃   25℃   1000℃
  Ar气   1slm   500sccm   10slm
  N2   100sccm   10sccm   1slm
  时间   60s   5s   5min
高k电介质
本发明的一种实施方式包括在氧氮化物膜上形成至少一个选自ZrO2、HfO2、Ta2O5、ZrSiO4、Al2O3、HfSiO、HfAlO、HfSiON、Si3N4和BaSrTiO3或其任意组合的高k电介质膜。
适宜地,高k电介质膜在约20℃下的介电常数大于约4。在一种实施方式中,高k电介质膜在约20℃下的介电常数为约4-300,包括4、5、6、7、8、9、10、11、12、13、14、15、16、17、18、19、20、30、50、70、90、100、200或300,或其任意组合。
适宜地,高k电介质膜通过至少一种选自以下的工艺形成在氧氮化物膜上:化学气相沉积(CVD)、原子层沉积(ALD)、金属有机CVD(MOCVD)和物理气相沉积(PVD)或其任意组合。
高k电介质膜可以根据需要退火和/或氮化。
LP退火
在制备完目标膜之后,例如氮化膜或氧氮化膜或高k电介质层,可以将其退火。LP(低压)退火适用于对氧氮化物膜和/或高k电介质膜进行退火。
进行LP退火的压力可为约5mTorr-约800Torr,包括5、6、7、8、9、10、20、30、40、50、60、70、80、90、100、250、500、750、1000、10000、20000、30000、50000、100000、200000、400000或800000mTorr,或其任意组合。
进行LP退火的温度可为约500-1200℃,包括500、550、600、650、700、750、800、850、900、950、1000、1100或1200,或其任意组合。
LP退火可以在流率为0-20slm的包含至少一种分子组合物的退火气体中进行,所述分子组合物包括氧、氮、H2、Ar、He、Ne、Xe或Kr,或其任意组合。在一种实施方式中,进行LP退火在N2中进行,N2流率为约0-20slm,包括2、3、4、5、6、7、8、9、10、20、30、35、40、45、50、55、60、65、70、75、80、85、90、100、250、275、300、400、500、600、700、800、900或1000sccm,或2、3、4、5、10、15或20slm,或其任意组合。
进行LP退火的时间可为约1秒-约10分钟,包括1、2、3、4、5、6、7、8、9、10、15、20、25、30、35、40、50、60秒,2、3、4、5、6、7、8、9或10分钟,或其任意组合。
LP退火和氮化可以在相同的处理室中进行,在此情况下,在氮化之后和退火之前,可以进行至少一个净化步骤。当然,也可以在不同的处理室中进行氮化和退火。在此实施方式中,可以将具有膜的衬底从一个室转移到另一个室,而不接触环境气氛、空气等。
下面给出一组备选的用于进行LP退火的参数:
退火(LPA)
  参数   典型值   下限   上限
  压力   1T   50mT   760T
  温度   1000℃   800℃   1100℃
  N2   1slm   0   10slm
  O2   1slm   0   10slm
  时间   15s   5s   3min
UVO2/N2后退火
作为可选的后成形处理,适宜用UVO2/N2后退火对氧氮化物膜或高k电介质层退火,这是通过将膜或层暴露于氧自由基和氮自由基来实现的,所述氧自由基和氮自由基是通过包含至少一种含氧和氮的分子组合物的退火气体的紫外(UV)辐射诱导解离而形成的。
适宜地,UVO2/N2后退火通过将所述氧氮化物膜暴露于氧自由基和氮自由基来退火氧氮化物,所述氧自由基和氮自由基是通过包含至少一种含氧和氮的分子组合物的退火气体的紫外(UV)辐射诱导解离而形成的。氧和氮自由基解离自包含至少一种含氧和氮的分子组合物的退火气体,所述分子组合物选自O2、N2、NO、NO2和N2O或其任意组合。可以存在的其它气体例如是H2、Ar、He、Ne、Xe或Kr中的一种或更多种,或其任意组合。
在此退火的一种实施方式中,退火气体流过氧氮化物和/或高k电介质表面,以使氧和氮自由基包含在流过表面的层流退火气体中。
进行退火的压力可为约1-80000mTorr,包括1、2、3、4、5、6、7、8、9、10、20、30、40、50、60、70、80、90、100、250、500、750、1000、10000、20000、30000、50000、100000、200000、400000或800000mTorr,或其任意组合。
进行退火的温度可为约400-1200℃,包括500、550、600、650、700、750、800、850、900、950、1000、1100或1200℃,或其任意组合。
退火气体的流率可为约0-20slm,包括0、1、2、3、4、5、6、7、8、9、10、20、30、35、40、45、50、55、60、65、70、75、80、85、90、100、250、275、300、400、500、600、700、800、900或1000sccm,或2、3、4、5、10、15或20slm,或其任意组合。
进行退火的时间可为约1秒-约10分钟,包括1、2、3、4、5、6、7、8、9、10、15、20、25、30、35、40、50、60秒,2、3、4、5、6、7、8、9或10分钟,或其任意组合。
此退火的紫外辐射的波长可为约145-192nm,包括145、147、150、155、171、172、173、175、180、185、190和192nm,这些波长对于被解离分子的结合能是适宜的。辐射可为单色或多色。
紫外辐射源的操作功率可为5-50mW/cm2,包括0.5、0.6、0.7、0.8、0.9、1、1.1、1.3、1.5、1.7、1.9、2、3、4或5mW/cm2,或其任意组合。可以使用一个或更多个紫外源。
退火和氮化可以在相同的处理室中进行,在此情况下,在氮化之后和退火之前,可以进行至少一个净化步骤。当然,也可以在不同的处理室中进行氮化和退火。在此实施方式中,可以将具有膜的衬底从一个室转移到另一个室,而不接触环境气氛、空气等。
RFN后退火
作为另一种后成形处理,适宜用RFN后退火对氧氮化物膜退火,这是通过将氧氮化物膜暴露于氮自由基来实现的,所述氮自由基是通过包含含氮的上游分子组合物的退火气体的上游等离子体诱导解离而形成的,并且其中所述上游等离子体诱导解离包括使用通过将射频(RF)功率耦合至上游退火气体所产生的等离子体,以使氮自由基以层流方式流过表面。
适宜地,进行退火的压力可为1-20000mTorr,包括1、2、3、4、5、6、7、8、9、10、20、30、40、50、60、70、80、90、100、250、500、750、1000、10000、20000mTorr,或其任意组合。
适宜地,进行退火的温度可为约20-1200℃,包括20、30、40、50、60、70、80、90、100、200、300、400、500、550、600、650、700、750、800、850、900、950、1000、1100或1200℃,或其任意组合。
进行退火的时间可为约1秒-约25分钟,包括1、2、3、4、5、6、7、8、9、10、15、20、25、30、35、40、50、60秒,2、3、4、5、6、7、8、9、10、15或20分钟,或其任意组合。
在N2中进行退火的N2流率可为约2sccm-20slm,包括0、1、2、3、4、5、6、7、8、9、10、20、30、35、40、45、50、55、60、65、70、75、80、85、90、100、250、275、300、400、500、600、700、800、900或1000sccm,或2、3、4、5、10、15或20slm,或其任意组合。
退火也可以在其它气体的存在下进行,其它气体例如是H2、Ar、He、Ne、Xe或Kr,或其任意组合。这些其它气体的流率可为约100sccm-约20slm,包括100、250、275、300、400、500、600、700、800、900或1000sccm,或2、3、4、5、10、15或20slm,或其任意组合。
退火可以使用通过将射频(RF)功率与上游退火气体耦合而远程产生的等离子体来进行,所述射频功率为约40KHz-约4MHz,包括40、50、60、70、80、90、100、200、300、400、500、600、700、800、900或1000KHz,1.5、2、3或4MHz,或其任意组合。
器件
使用本文所述的方法,可以形成电子或半导体器件,然后在高k电介质膜上形成多晶硅、无定形硅和SiGe中的至少一种或其任意组合。
其它合适的系统和方法描述在下列文献中,通过引用将每篇文献的全部内容独立地结合在本文中:
JP 2001-012917,2001年1月22日提交;
JP 2001-374631,2001年12月7日提交;
JP 2001-374632,2001年12月7日提交;
JP 2001-374633,2001年12月7日提交;
JP 2001-401210,2001年12月28日提交;
JP 2002-118477,2002年4月19日提交;
US 2004/0142577A1,2002年1月22日提交;以及
US 2003/0170945A1,2002年12月6日提交。
本发明并不限于上述实施方式,在不脱离本发明的范围和精神的前提下,还可以通过其它方式实现或实施。

Claims (110)

1.一种在衬底上制备栅极叠层界面层的方法,包括:
通过将所述衬底的表面暴露于氧自由基来氧化所述衬底的所述表面,以形成氧化物膜,其中所述氧自由基是通过包含至少一种含氧的分子组合物的第一处理气体的紫外(UV)辐射诱导解离而形成的;
通过将所述氧化物膜暴露于氮自由基来氮化所述氧化物膜,以形成所述界面层,其中所述氮自由基是通过包含至少一种含氮的分子组合物的第二处理气体的等离子体诱导解离而形成的;以及
在所述界面层上形成高介电常数电介质层。
2.如权利要求1的方法,其中所述衬底表面是硅表面、氧化物表面或氧化硅表面。
3.如权利要求1的方法,其中所述第一处理气体中的所述分子组合物包含:O2、NO、NO2或N2O或其两者或更多种的任意组合;以及可选的至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的气体。
4.如权利要求1的方法,其中所述第一处理气体中的所述分子组合物包含O2,并且所述氧自由基是由O2的紫外辐射诱导解离生成的。
5.如权利要求1的方法,其中所述氧化物膜的厚度为约0.1-3nm。
6.如权利要求1的方法,其中所述氧化物膜的厚度方差σ为约0.2-4%。
7.如权利要求1的方法,还包括将所述第一处理气体流过所述衬底表面,以使流过所述衬底表面的所述第一处理气体的层流中包含所述氧自由基。
8.如权利要求1的方法,还包括在所述衬底表面的平面中以约1-60rpm的速率旋转所述衬底。
9.如权利要求1的方法,其中所述氧化在约200-1000℃的衬底温度下进行。
10.如权利要求1的方法,其中所述氧化在约1-30000mTorr的压力下进行。
11.如权利要求1的方法,其中所述第一处理气体中的所述分子组合物包含O2,并且所述氧化在约30sccm-约5slm的O2流率下进行。
12.如权利要求1的方法,其中所述第一处理气体中的所述分子组合物还包含至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第二气体,并且其中所述第二气体的流率为约0-5slm。
13.如权利要求1的方法,其中所述氧化进行约5秒-约25分钟的时间。
14.如权利要求1的方法,其中所述紫外辐射诱导解离中的紫外辐射包括172nm的辐射。
15.如权利要求1的方法,其中所述紫外辐射诱导解离中的紫外辐射由在约5-50mW/cm2的功率下操作的紫外辐射源产生。
16.如权利要求1的方法,其中所述紫外辐射诱导解离中的紫外辐射由两个或更多个紫外辐射源产生。
17.如权利要求1的方法,还包括:在所述氧化之前,从所述衬底表面去除本生氧化物。
18.如权利要求1的方法,还包括:在所述氧化之前,进行至少一个清洁步骤,所述清洁步骤选自:通过湿化学清洁在衬底上形成裸露硅表面;通过清洁随后使所述衬底表面与HF接触,在衬底表面上形成裸露硅表面;或其任意组合。
19.如权利要求1的方法,其中所述氧化物膜具有式SiO2
20.如权利要求1的方法,其中所述界面层是氧氮化物膜。
21.如权利要求1的方法,其中所述界面层具有式SiON。
22.如权利要求1的方法,其中所述第二处理气体的等离子体诱导解离包括使用基于微波辐射的等离子体,所述微波辐射是通过具有多个缝隙的平面天线构件产生的。
23.如权利要求1的方法,其中所述第二处理气体中的所述分子组合物包含N2以及可选的至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的气体。
24.如权利要求1的方法,还包括通过至少一种选自以下(1)、(2)或(3)中的工艺来氮化所述高介电常数电介质层:
(1)将所述高介电常数电介质层暴露于氮自由基,所述氮自由基是通过包含至少一种含氮的分子组合物的第三处理气体的等离子体诱导解离而形成的;
(2)将所述高介电常数电介质层暴露于氮自由基,所述氮自由基是通过包含至少一种含氮的分子组合物的第三处理气体的等离子体诱导解离而形成的,其中所述第三处理气体的所述等离子体诱导解离包括使用基于微波辐射的等离子体,所述微波辐射是通过具有多个缝隙的平面天线构件产生的;
(3)将所述高介电常数电介质层暴露于氮自由基,所述氮自由基是通过包含至少一种含氮的分子组合物的第三处理气体的等离子体诱导解离而形成的,其中所述第三处理气体的所述等离子体诱导解离包括使用基于上游等离子体产生法的等离子体,所述上游等离子体产生法是通过将射频(RF)功率与所述第三处理气体耦合实现的。
25.如权利要求24的方法,其中所述高介电常数电介质层通过暴露于氮自由基来氮化,所述氮自由基是通过包含至少一种含氮的分子组合物的第三处理气体的等离子体诱导解离而形成的,所述解离使用基于微波辐射的等离子体,所述微波辐射是通过具有多个缝隙的平面天线构件产生的。
26.如权利要求25的方法,其中所述第三处理气体的分子组合物包含N2和H2以及可选的至少一种选自Ar、He、Ne、Xe或Kr或其任意组合的气体。
27.如权利要求25的方法,其中所述第三处理气体的分子组合物包含N2或NH3或两者,并且所述氮自由基由N2或NH3或两者的等离子体诱导解离生成。
28.如权利要求25的方法,其中所述高介电常数电介质层的氮化在约20-1000℃的衬底温度下进行。
29.如权利要求25的方法,其中所述高介电常数电介质层的氮化在约1-30000mTorr的压力下进行。
30.如权利要求25的方法,其中所述第三处理气体中的所述分子组合物包含N2,并且所述氮化在约2sccm-约5slm的N2流率下进行。
31.如权利要求25的方法,其中所述第三处理气体中的所述分子组合物还包含至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第三气体,并且其中所述第三气体的流率为约100sccm-约5slm。
32.如权利要求25的方法,其中所述高介电常数电介质层的氮化进行约5秒-约25分钟的时间。
33.如权利要求25的方法,其中用于所述高介电常数电介质层的氮化的所述等离子体包括小于约3eV的电子温度。
34.如权利要求25的方法,其中用于所述高介电常数电介质层的氮化的所述等离子体的密度为约1×1011-1×1013,密度均匀度为约±3%或更小。
35.如权利要求25的方法,其中用于所述高介电常数电介质层的氮化的所述等离子体是由约0.5mW/cm2-5W/cm2的微波输出产生的。
36.如权利要求25的方法,其中用于所述高介电常数电介质层的氮化的微波辐射包括约300MHz-约10GHz的微波频率。
37.如权利要求25的方法,其中所述平面天线构件在其表面上的表面积大于所述衬底表面的面积。
38.如权利要求24的方法,其中所述高介电常数电介质层通过暴露于氮自由基来氮化,所述氮自由基是通过包含至少一种含氮的分子组合物的第三处理气体的等离子体诱导解离而形成的,其中所述第三处理气体的所述等离子体诱导解离包括使用基于上游等离子体产生法的等离子体,所述上游等离子体产生法是通过将射频(RF)功率与所述第三处理气体耦合实现的。
39.如权利要求38的方法,其中所述氧化物膜氮化在第一处理室中进行,并且所述高介电常数电介质层氮化在所述第一处理室中或在不同的处理室中进行。
40.如权利要求38的方法,其中所述高介电常数电介质层在约1-20000mTorr的压力下被氮化。
41.如权利要求38的方法,其中所述高介电常数电介质层在约20-1200℃的衬底温度下被氮化。
42.如权利要求38的方法,其中所述高介电常数电介质层的氮化时间为约1秒-约25分钟。
43.如权利要求38的方法,其中所述上游分子组合物包含N2,N2流率为约2sccm-约20slm。
44.如权利要求38的方法,其中所述上游分子组合物包含氮以及可选的至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第三气体。
45.如权利要求38的方法,其中所述上游分子组合物包含氮以及至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第三气体,并且其中所述第三气体的流率为约100sccm-约20slm。
46.如权利要求38的方法,其中所述射频(RF)功率的频率为约40KHz-约4MHz。
47.如权利要求1的方法,其中所述氧化和氮化在相同的处理室中进行。
48.如权利要求1的方法,其中所述氧化和氮化在相同的处理室中进行,并且在所述氧化之后且在所述氮化之前进行至少一个净化步骤。
49.如权利要求1的方法,其中所述氧化和氮化在不同的处理室中进行。
50.如权利要求1的方法,其中所述氧化在第一处理室中进行,所述氮化在第二处理室中进行,并且在不接触空气的条件下将所述衬底从所述第一处理室转移至所述第二处理室。
51.如权利要求1的方法,还包括:
对所述界面层或者所述界面层和所述高介电常数电介质层进行退火。
52.如权利要求51的方法,其中所述退火在约5mTorr-约800Torr的压力下进行。
53.如权利要求51的方法,其中所述退火在约500-1200℃的温度下进行。
54.如权利要求51的方法,其中所述退火在退火气体下进行,所述退火气体包含至少一种包含氧、氮、H2、Ar、He、Ne、Xe或Kr或其任意组合的分子组合物。
55.如权利要求51的方法,其中所述退火在流率为约0-20slm的N2下进行。
56.如权利要求51的方法,其中所述退火在流率为约0-20slm的O2下进行。
57.如权利要求51的方法,其中所述退火的进行时间为约1秒-约10分钟。
58.如权利要求51的方法,其中所述氮化和退火在相同的处理室中进行,并且在所述氮化之后且在所述退火之前进行至少一个净化步骤。
59.如权利要求51的方法,其中所述氮化和退火在不同的处理室中进行。
60.如权利要求51的方法,其中所述氮化在第一处理室中进行,所述退火在第二处理室中进行,并且在不接触空气的条件下将具有所述界面层或所述高介电常数电介质层的所述衬底从所述第一处理室转移至所述第二处理室。
61.如权利要求51的方法,其中所述退火通过将所述界面层或所述高介电常数电介质层暴露于氧自由基和氮自由基来进行,所述氧自由基和氮自由基是通过包含至少一种含氧和氮的第三分子组合物的退火气体的紫外(UV)辐射诱导解离而形成的。
62.如权利要求61的方法,其中所述第三分子组合物包含选自O2、N2、NO、NO2或N2O或其任意组合的氧和氮。
63.如权利要求61的方法,其中所述第三分子组合物包含氧和氮以及至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的气体。
64.如权利要求61的方法,其中所述退火气体流过所述界面层或所述高介电常数电介质层的表面,以使所述氧和氮自由基包含在流过所述表面的所述退火气体的层流中。
65.如权利要求61的方法,其中在所述衬底表面的平面中以约1-60rpm的速率旋转所述衬底。
66.如权利要求61的方法,其中所述退火在约1-80000mTorr的压力下进行。
67.如权利要求61的方法,其中所述退火在约400-1200℃的温度下进行。
68.如权利要求61的方法,其中所述退火气体的流率为约0-20slm。
69.如权利要求61的方法,其中所述退火的进行时间为约1秒-约10分钟。
70.如权利要求61的方法,其中所述紫外辐射诱导解离中的紫外辐射包括范围在约145-192nm的紫外辐射,且其为单色或多色。
71.如权利要求61的方法,其中所述紫外辐射诱导解离中的紫外辐射由在约5-50mW/cm2的功率下操作的紫外辐射源产生。
72.如权利要求61的方法,其中所述紫外辐射诱导解离中的紫外辐射由两个或更多个紫外辐射源产生。
73.如权利要求51的方法,其中所述退火通过将所述界面层或所述高介电常数电介质层暴露于氮自由基来进行,所述氮自由基是通过包含含氮的上游分子组合物的上游退火气体的上游等离子体诱导解离而形成的,并且其中所述上游等离子体诱导解离包括使用通过将射频(RF)功率耦合至所述上游退火气体所产生的等离子体。
74.如权利要求73的方法,其中所述退火在与进行所述氮化相同的处理室中或不同的处理室中进行。
75.如权利要求73的方法,其中所述退火在约1-20000mTorr的压力下进行。
76.如权利要求73的方法,其中所述退火在约20-1200℃的衬底温度下进行。
77.如权利要求73的方法,其中所述退火进行约1秒-约25分钟的时间。
78.如权利要求73的方法,其中所述退火在流率为约2sccm-约20slm的N2下进行。
79.如权利要求73的方法,其中所述上游分子组合物包含氮和至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第二气体。
80.如权利要求73的方法,其中所述上游分子组合物包含氮和至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第三气体,并且其中所述第三气体的流率为约100sccm-约20slm。
81.如权利要求73的方法,其中所述上游分子组合物包含氮和至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第三气体,并且其中所述射频(RF)源的频率为约40KHz-约4MHz。
82.如权利要求1的方法,其中通过至少一种选自以下(1)或(2)中的工艺来氮化所述氧化物膜以形成所述界面层:
(1)将所述氧化物膜暴露于氮自由基,所述氮自由基是通过包含至少一种含氮的分子组合物的第二处理气体的等离子体诱导解离而形成的,其中所述第二处理气体的所述等离子体诱导解离包括使用基于微波辐射的等离子体,所述微波辐射是通过具有多个缝隙的平面天线构件产生的;
(2)将所述氧化物膜暴露于氮自由基,所述氮自由基是通过包含至少一种含氮的分子组合物的第二处理气体的等离子体诱导解离而形成的,其中所述第二处理气体的所述等离子体诱导解离包括使用基于上游等离子体产生法的等离子体,所述上游等离子体产生法是通过将射频(RF)功率与所述第二处理气体耦合实现的。
83.如权利要求82的方法,其中所述氧化物膜通过暴露于氮自由基来氮化,所述氮自由基是通过包含至少一种含氮的分子组合物的第二处理气体的等离子体诱导解离而形成的,所述解离使用基于微波辐射的等离子体,所述微波辐射是通过具有多个缝隙的平面天线构件产生的。
84.如权利要求83的方法,其中所述第二处理气体中的所述分子组合物包含N2和H2以及可选的至少一种选自Ar、He、Ne、Xe或Kr或其任意组合的气体。
85.如权利要求83的方法,其中所述第二处理气体的分子组合物包含N2,并且所述氮自由基由N2的等离子体诱导解离生成。
86.如权利要求83的方法,其中所述氮化在约20-1000℃的衬底温度下进行。
87.如权利要求83的方法,其中所述氮化在约1-30000mTorr的压力下进行。
88.如权利要求83的方法,其中所述第二处理气体中的所述分子组合物包含N2,并且所述氮化在约2sccm-约5slm的N2流率下进行。
89.如权利要求83的方法,其中所述第二处理气体中的所述分子组合物还包含至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第二气体,并且其中所述第二气体的流率为约100sccm-约5slm。
90.如权利要求83的方法,其中所述氮化进行约5秒-约25分钟的时间。
91.如权利要求83的方法,其中用于所述氮化的所述等离子体包括小于约3eV的电子温度。
92.如权利要求83的方法,其中用于所述氮化的所述等离子体的密度为约1×1011-1×1013,密度均匀度为约±3%或更小。
93.如权利要求83的方法,其中所述等离子体是由约0.5mW/cm2-5W/cm2的微波输出产生的。
94.如权利要求83的方法,其中所述微波辐射包括约300MHz-约10GHz的微波频率。
95.如权利要求83的方法,其中所述平面天线构件在其表面上的表面积大于所述衬底表面的面积。
96.如权利要求82的方法,其中所述氧化物层通过暴露于氮自由基来氮化,所述氮自由基是通过包含至少一种含氮的分子组合物的第二处理气体的等离子体诱导解离而形成的,其中所述第二处理气体的所述等离子体诱导解离包括使用基于上游等离子体产生法的等离子体,所述上游等离子体产生法是通过将射频(RF)功率与所述第二处理气体耦合实现的。
97.如权利要求96的方法,其中所述氧化物膜在约1-20000mTorr的压力下被氮化。
98.如权利要求96的方法,其中所述氧化物膜在约20-1200℃的衬底温度下被氮化。
99.如权利要求96的方法,其中所述氧化物膜的氮化时间为约1秒-约25分钟。
100.如权利要求96的方法,其中所述分子组合物包含N2,N2流率为约2sccm-约20slm。
101.如权利要求96的方法,其中所述分子组合物包含氮以及可选的至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第二气体。
102.如权利要求96的方法,其中所述分子组合物包含氮以及至少一种选自H2、Ar、He、Ne、Xe或Kr或其任意组合的第二气体,并且其中所述第二气体的流率为约100sccm-约20slm。
103.如权利要求96的方法,其中所述射频(RF)功率的频率为约40KHz-约4MHz。
104.如权利要求1的方法,其中所述高介电常数电介质膜选自ZrO2、HfO2、Ta2O5、ZrSiO4、Al2O3、HfSiO、HfAlO、HfSiON、Si3N4和BaSrTiO3或其任意组合
105.如权利要求1的方法,其中所述高介电常数电介质膜在约20℃下的介电常数大于约4。
106.如权利要求1的方法,其中所述高介电常数电介质膜在约20℃下的介电常数为约4-300。
107.如权利要求1的方法,其中所述高介电常数电介质膜通过至少一种选自以下的工艺形成在所述氧氮化物膜上:化学气相沉积(CVD)、原子层沉积(ALD)、金属有机CVD(MOCVD)和物理气相沉积(PVD)或其任意组合。
108.如权利要求1的方法,还包括:
在所述高介电常数电介质膜上形成多晶硅、无定形硅和SiGe中的至少一种或其任意组合。
109.如权利要求108的方法,还包括:
对所述膜进行退火。
110.一种用于制造半导体或电子器件的方法,包括如权利要求1的方法。
CNA2006800108255A 2005-03-30 2006-02-16 用于形成高介电常数电介质层的方法和系统 Pending CN101151717A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/093,261 US20060228898A1 (en) 2005-03-30 2005-03-30 Method and system for forming a high-k dielectric layer
US11/093,261 2005-03-30

Publications (1)

Publication Number Publication Date
CN101151717A true CN101151717A (zh) 2008-03-26

Family

ID=37073905

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800108255A Pending CN101151717A (zh) 2005-03-30 2006-02-16 用于形成高介电常数电介质层的方法和系统

Country Status (6)

Country Link
US (1) US20060228898A1 (zh)
JP (1) JP2008537848A (zh)
KR (1) KR20080002908A (zh)
CN (1) CN101151717A (zh)
TW (1) TWI326897B (zh)
WO (1) WO2006107417A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101981652B (zh) * 2008-04-02 2012-08-22 富山县 紫外线发生装置以及使用该紫外线发生装置的照明装置
CN103165440A (zh) * 2011-12-09 2013-06-19 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极半导体器件制造方法
CN105977621A (zh) * 2016-06-08 2016-09-28 武汉芯泰科技有限公司 一种空气天线的制备方法及通信方法
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
DE102005023437A1 (de) 2005-05-20 2006-11-30 Merck Patent Gmbh Verbindungen für organische elektronische Vorrichtungen
JP4983025B2 (ja) * 2006-01-17 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
WO2007132884A1 (ja) * 2006-05-17 2007-11-22 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US8203176B2 (en) * 2007-03-08 2012-06-19 Renesas Electronics Corporation Dielectric, capacitor using dielectric, semiconductor device using dielectric, and manufacturing method of dielectric
US20090233430A1 (en) * 2008-02-19 2009-09-17 Hitachi-Kokusai Electric In. Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, and semiconductor device manufacturing system
US20100109098A1 (en) * 2008-11-06 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure including modified high-k gate dielectric and metal gate interface
JP2010153802A (ja) 2008-11-20 2010-07-08 Semiconductor Energy Lab Co Ltd 半導体装置及び半導体装置の作製方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120054934A (ko) 2010-11-22 2012-05-31 삼성전자주식회사 고유전상수의 게이트 유전층을 갖는 반도체 소자의 제조방법들 및 그에 의해 제조된 반도체 소자들
KR20120089147A (ko) * 2011-02-01 2012-08-09 삼성전자주식회사 반도체 소자의 제조 방법
JP2012191156A (ja) * 2011-02-25 2012-10-04 Tokyo Electron Ltd 配線の形成方法および形成装置
WO2012115165A1 (ja) * 2011-02-25 2012-08-30 東京エレクトロン株式会社 膜形成方法および膜形成装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10041167B2 (en) * 2015-02-23 2018-08-07 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10707073B2 (en) 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102556277B1 (ko) 2018-04-23 2023-07-17 삼성디스플레이 주식회사 성막 장치 및 성막 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR20200042054A (ko) 2018-10-12 2020-04-23 삼성디스플레이 주식회사 증착 장치 및 이를 이용한 표시 장치 제조 방법
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4919077A (en) * 1986-12-27 1990-04-24 Mitsubishi Denki Kabushiki Kaisha Semiconductor producing apparatus
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JP3234091B2 (ja) * 1994-03-10 2001-12-04 株式会社日立製作所 表面処理装置
JPH07253677A (ja) * 1994-03-16 1995-10-03 Mitsubishi Electric Corp 光オゾンアッシャ,光アッシング方法,及び半導体装置の製造方法
US5454589A (en) * 1994-08-18 1995-10-03 Morton International, Inc. Inflatable air cell protective device
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
JP3500050B2 (ja) * 1997-09-08 2004-02-23 東京エレクトロン株式会社 不純物除去装置、膜形成方法及び膜形成システム
US6187133B1 (en) * 1998-05-29 2001-02-13 Applied Materials, Inc. Gas manifold for uniform gas distribution and photochemistry
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6274467B1 (en) * 1999-06-04 2001-08-14 International Business Machines Corporation Dual work function gate conductors with self-aligned insulating cap
CN1331199C (zh) * 2000-04-17 2007-08-08 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
JP4731694B2 (ja) * 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6933248B2 (en) * 2000-10-19 2005-08-23 Texas Instruments Incorporated Method for transistor gate dielectric layer with uniform nitrogen concentration
JP2002170825A (ja) * 2000-11-30 2002-06-14 Nec Corp 半導体装置及びmis型半導体装置並びにその製造方法
CN100477113C (zh) * 2001-01-22 2009-04-08 东京毅力科创株式会社 电子器件材料的制造方法
US20020146914A1 (en) * 2001-04-06 2002-10-10 Kuo-Tai Huang In-situ steam generation process for nitrided oxide
JP4369091B2 (ja) * 2001-07-18 2009-11-18 東京エレクトロン株式会社 基板処理方法
KR100641762B1 (ko) * 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP4102072B2 (ja) * 2002-01-08 2008-06-18 株式会社東芝 半導体装置
US6706643B2 (en) * 2002-01-08 2004-03-16 Mattson Technology, Inc. UV-enhanced oxy-nitridation of semiconductor substrates
JP4559739B2 (ja) * 2002-03-29 2010-10-13 東京エレクトロン株式会社 電子デバイス用材料およびその製造方法
US6774040B2 (en) * 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
AU2003291319A1 (en) * 2002-11-08 2004-06-03 Aviza Technology, Inc. Nitridation of high-k dielectrics
US7087537B2 (en) * 2004-03-15 2006-08-08 Sharp Laboratories Of America, Inc. Method for fabricating oxide thin films
US7071122B2 (en) * 2003-12-10 2006-07-04 International Business Machines Corporation Field effect transistor with etched-back gate dielectric
JP4919586B2 (ja) * 2004-06-14 2012-04-18 富士通セミコンダクター株式会社 半導体装置およびその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101981652B (zh) * 2008-04-02 2012-08-22 富山县 紫外线发生装置以及使用该紫外线发生装置的照明装置
US9711373B2 (en) 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
CN103165440A (zh) * 2011-12-09 2013-06-19 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极半导体器件制造方法
CN105977621A (zh) * 2016-06-08 2016-09-28 武汉芯泰科技有限公司 一种空气天线的制备方法及通信方法

Also Published As

Publication number Publication date
US20060228898A1 (en) 2006-10-12
KR20080002908A (ko) 2008-01-04
TWI326897B (en) 2010-07-01
TW200717651A (en) 2007-05-01
WO2006107417A2 (en) 2006-10-12
JP2008537848A (ja) 2008-09-25
WO2006107417A3 (en) 2007-04-12

Similar Documents

Publication Publication Date Title
CN101151717A (zh) 用于形成高介电常数电介质层的方法和系统
US10804098B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8178448B2 (en) Film formation method and apparatus for semiconductor process
US8025931B2 (en) Film formation apparatus for semiconductor process and method for using the same
CN100359649C (zh) 在基板上形成绝缘膜的方法、半导体装置的制造方法和基板处理装置
US8119544B2 (en) Film formation method and apparatus for semiconductor process
US8877655B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
JP5626925B2 (ja) 低減された等価酸化膜厚を有する高誘電率ゲートスタックの形成方法
KR20180058232A (ko) SiO 및 SiN을 포함하는 유동성 막들을 증착시키는 방법들
JP2007088454A (ja) 制御可能な空間的変化を有する層を形成する方法及びシステム
US20070065593A1 (en) Multi-source method and system for forming an oxide layer
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
JP2009513000A (ja) 引張応力を有するシリコン酸窒化膜を形成する方法
CN101151718A (zh) 用于形成氧氮化物层的方法和系统
US8119540B2 (en) Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US20090124087A1 (en) Vertical plasma processing apparatus and method for using same
US7517812B2 (en) Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) Method for forming a nitrided germanium-containing layer using plasma processing
US20060228871A1 (en) Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US20170084464A1 (en) Germanium-containing semiconductor device and method of forming

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20080326