JP2009513000A - 引張応力を有するシリコン酸窒化膜を形成する方法 - Google Patents

引張応力を有するシリコン酸窒化膜を形成する方法 Download PDF

Info

Publication number
JP2009513000A
JP2009513000A JP2008533339A JP2008533339A JP2009513000A JP 2009513000 A JP2009513000 A JP 2009513000A JP 2008533339 A JP2008533339 A JP 2008533339A JP 2008533339 A JP2008533339 A JP 2008533339A JP 2009513000 A JP2009513000 A JP 2009513000A
Authority
JP
Japan
Prior art keywords
film
sinc
porous
substrate
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008533339A
Other languages
English (en)
Other versions
JP5219815B2 (ja
Inventor
グムファー,ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2009513000A publication Critical patent/JP2009513000A/ja
Application granted granted Critical
Publication of JP5219815B2 publication Critical patent/JP5219815B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

引張応力を有する緻密化されたシリコン酸窒化膜を形成する方法、及び緻密化されたシリコン酸窒化膜を含む半導体デバイスが開示される。緻密化されたシリコン酸窒化膜は、LPCVDプロセスにて基板上に多孔質SiNC:H膜を堆積すること、及びSiNC:H膜に酸素を混入し、それにより、多孔質SiNC:H膜より高い密度を有する緻密化されたSiONC:H膜を形成するために、多孔質SiNC:H膜を酸素含有ガスに曝すことによって形成されることができる。緻密化されたシリコン酸窒化膜は、半導体デバイスを含んだ基板上に含められ得る。

Description

本発明は半導体処理に関し、より具体的には、膜内に高い引張応力を有するシリコン酸窒化膜を形成する方法、及び高い引張応力を有するシリコン酸窒化膜を含む半導体デバイスに関する。
シリコン窒化膜、及びシリコン窒化物ベースの膜は、半導体デバイス及び超大規模集積回路にて幅広く使用されている。例えば、シリコン窒化膜は、多くの用途の中でもとりわけ、ドーパントの拡散障壁、微細な造形物のエッチングにおけるエッチング停止膜、及び製造されたデバイスの封止のための最後の保護膜として、半導体デバイスにて幅広く使用されている。
シリコン窒化膜は、多様な処理システム及びプロセスガスを用いて、低圧又は大気圧にて堆積されることが可能である。これらの処理システムは、例えば、熱的化学気相成長(TCVD)、プラズマ化学気相成長(PECVD)又はリモートPECVDを行うことができる。リモートPECVDにおいては、処理されるべき基板はプラズマに直接的に接触するようには置かれず、プラズマ放電の下流に置かれる。デバイス品質のシリコン窒化膜は、例えば、シラン(SiH)とアンモニア(NH)若しくは窒素(N)とを用いるPECVD、又はジクロロシラン(SiHCl)とNHとを用いる熱的CVDにより堆積されている。堆積されたシリコン窒化膜はしばしば圧縮応力又は引張応力を受けている。シリコン窒化膜の応力は、高密度の低圧CVD(LPCVD)膜の場合の約1.3GPa(1GPa=1×10パスカル)の引張応力から、高い不純物濃度を有するPECVD及び低温膜の場合の圧縮応力まで様々であることが観測されている。
相補型金属−酸化物−半導体(CMOS)トランジスタの性能を向上させるための最近のイノベーションにより、最新の超大規模集積回路(ULSI)の集積技術と相性の良い、応力を有するセラミック層に対する産業ニーズが産み出されている。特に、N型金属−酸化物−半導体(NMOS)トランジスタのチャネルキャリア移動度は、MOSトランジスタのチャネル領域への引張性の単軸歪み又は2軸歪みの導入によって高められ得る。これは一般的に、ソース/ドレイン領域上のキャップ層として高い引張応力を有するシリコン窒化物を堆積することによって達成されている。所望の歪みを達成するためには約1.5GPa以上のレベルの引張応力が必要である。堆積されたままのシリコン窒化膜の引張応力は紫外(UV)光に曝すことによって更に高められることが可能であるが、この手法はこれらの膜を処理するために更なるUVハードウェアを必要とする。この用途に向けてその他の新材料が探求され得るが、既存の製造プロセスとの相性の良さにより、シリコン窒化物及びシリコン窒化物ベース材料が好ましい。
本発明は、その一実施形態において、高い引張応力を有するシリコン酸窒化(SiONC:H)膜を形成する方法を提供することを目的とする。本発明により得られるシリコン酸窒化膜は、例えば半導体デバイスのMOSゲート上に形成されたシリコン酸窒化膜など、プレーナ状であってもよいし非プレーナ状であってもよい。
上記課題に鑑み、本発明に係る1つの方法は、基板上に多孔質SiNC:H膜を堆積する工程、及び堆積された多孔質SiNC:H膜に酸素を混入し、それにより、多孔質SiNC:H膜より高い密度を有する緻密化されたSiONC:H膜を形成するために、多孔質SiNC:H膜を酸素含有ガスに曝す工程を含んでいる。本発明の一実施形態において、緻密化されたSiONC:H膜の引張応力は約1.5GPa以上である。
本発明の一実施形態に従って、多孔質SiNC:H膜は、ビス(第三ブチルアミノシラン)(BTBAS)及びアンモニア(NH)を含むプロセスガスから堆積されることができ、多孔質SiNC:H膜を酸素含有ガスに曝す工程は、基板を約500℃と約800℃との間の温度、例えば550℃、に維持しながら行われることができる。
本発明の他の一実施形態に従って、緻密化されたSiONC:H膜は、半導体デバイスを含んだ基板上に形成されることができ、それにより、緻密化されたSiONC:H膜を含んだ半導体デバイスが形成される。緻密化されたSiONC:H膜は約1.5GPa以上の引張応力を有し得る。緻密化されたSiONC:H膜を含んだ基板は更に、該基板上に形成された少なくとも1つのドープト領域及びゲートスタックを含み得る。
理解されるべきことには、本発明の以上の概略説明と以下の詳細説明との双方は例示的なものであって、本発明を限定するものではない。
本発明の一層完全な理解及びそれに付随する数多くの利点は、添付の図面に関連付けて検討される以下の詳細な説明を参照することにより容易に得られるであろう。
以下の説明において、本発明の完全な理解を助けるため、また、限定目的ではなく説明目的で、半導体デバイスの具体的な構造、バッチ式処理システムの具体的な幾何学構成、及び様々な構成要素の記載などの具体的な詳細事項が説明される。しかしながら、本発明はこれら具体的な詳細事項を逸脱する他の実施形態において実施されてもよいことは理解されるべきである。
ここでは、シリコン窒化膜は、これらの膜がシリコン(Si)、窒素(N)、炭素(C)及び水素(H)を含み得ることを示すためにSiNC:Hとして参照される。本発明の一実施形態に従ってSiNC:H膜は有機シラン前駆体及び窒素含有ガスから堆積されることができるが、その他のシリコン含有前駆体が用いられてもよい。例えば、SiNC:H膜はビス(第三ブチルアミノシラン)(BTBAS、SiH(NHBu)及びアンモニア(NH)を含有するプロセスガスから堆積され得る。同様に、シリコン酸窒化膜は、ここでは、SiONC:H膜として参照される。SiNC:H膜及びSiONC:H膜の双方の元素組成は、Si、N、C、O及びH元素の広い原子濃度範囲にわたって変わり得る。SiNC:H及びSiONC:Hという表記は、Hは例えば層内の不純物として存在することを指し示している。H不純物量は例えば約10原子%以上とし得るが、例えば10−25原子%の水素といったその他のH原子%も本発明によって意図される。本発明に係るシリコンニトロカーボン膜及びシリコンオキシニトロカーボン膜はまた、水素を微量にのみ含む膜であってもよい。
ここで図面、より具体的には図1を参照するに、似通った参照符号は複数の図面を通して相等しい、あるいは対応する部分を指し示している。図1は、本発明の一実施形態の断面図を概略的に示しており、緻密化(デンシファイ)されたSiONC:H膜122がMOSデバイス100上に設けられている。デバイス100は、図示されているように、緻密化されたSiONC:H膜122に加えて基板112を含んでおり、基板112はドープト領域113及び114(例えば、ソース及びドレイン)、ゲートスタック120、並びにスペーサ121を有している。基板112は、例えば、Si、Ge、Si/Ge、又はGaAs基板ウェハとし得る。基板112は如何なる大きさであってもよく、例えば、200mm基板、300mm基板、又は更に大きい基板であってもよい。
ゲートスタック120はチャネル領域115上に誘電体層116を含んでいる。誘電体層116は、例えば、二酸化シリコン層(例えば、SiO)、シリコン窒化物層、シリコン酸窒化物層、若しくはこれらの組み合わせ、又はその他の何らかの好適材料を含み得る。誘電体層116は更に、高誘電率(high−k)誘電体を含んでいてもよい。high−k誘電体は、例えば、Ta、TiO、ZrO、Al、Y、HfSiO、HfO、ZrO、ZrSiO、TaSiO、SrO、SrSiO、LaO、LaSiO、YO若しくはYSiO、又はこれらの2つ以上の組み合わせを含む、金属酸化物及びそれらのケイ酸塩を含み得る。
本発明の一実施形態において、誘電体層116上に導電層117(例えば、ゲート電極層)が形成され、導電層117の電気抵抗を低減させるように導電層117上にシリサイド層118が形成されている。ゲートスタック120の頂部にはゲートスタック120を保護するキャップ層119が配置されていてもよい。キャップ層119は例えばシリコン窒化物(Si、SiNC:H)又はシリコン酸窒化物(SiON、SiONC:H)の層とし得る。
本発明の一実施形態において、導電層117はドープトポリシリコン(ポリSi)とすることができ、シリサイド層118はタングステンシリサイドとすることができる。本発明によれば、ゲートスタック120は、図1に示されたのとは異なる層や、より少ない或いは多い層を含んでいてもよい。一例において、層117及び/又は118は金属ゲート層で置き換えられてもよい。図1は更に、ゲートスタック120をダメージから保護し且つ該ゲートの電気性能を確保するために、ゲートスタック120のそれぞれの側面にスペーサ121が形成されること示している。さらに、スペーサ121はMOSデバイス100のソース及びドレイン113、114を形成するためのハードマスクとしても使用可能である。あるいは、本発明の一実施形態において、1つより多いスペーサ121が用いられてもよい。
本発明の一実施形態において、デバイス100は、緻密化されたSiONC:H膜122がチャネル領域115への引張応力の導入によってチャネルキャリア移動度を高めるNMOSデバイスとし得る。緻密化されたSiONC:H膜はまた、デバイスを保護するためのパッシベーション膜122としても作用し得る。本発明の一実施形態によれば、緻密化されたSiONC:H膜122は約1.5GPa以上の引張応力を有する。本発明の他の一実施形態によれば、SiONC:H膜122は約1.5GPaより大きく且つ3.0GPaより小さい引張応力を有する。緻密化されたSiONC:H膜内の引張応力のバラつきは+/−0.1GPaとし得る。
図2は、引張応力を有するシリコン酸窒化物を形成するための、本発明の一実施形態に従ったプロセスフローを示している。図3A−3Cは、SiNC:H膜の堆積と、それに続く引張応力を有する緻密化されたSiONC:H膜の形成とに関する、処理時間の関数としての基板温度の様々な例を示している。
図2及び図3Aを参照するに、プロセス200は、工程202にて処理システムの処理チャンバー内に基板を設置する工程を含んでいる。処理システムは、例えば、図5に描かれたバッチ式処理システム1とし得る。他の例では、処理システムは枚葉式のウェハ処理システムであってもよい。基板は、例えば、シリコン基板、シリコンゲルマニウム基板、ゲルマニウム基板などの半導体基板、ガラス基板、LCD基板、又はGaAs等の化合物半導体基板とし得る。基板は多数の能動デバイス及び/又は分離領域を含むことができる。さらに、基板はビア若しくはトレンチ、又はこれらの組み合わせを含んでいてもよい。本発明の一実施形態によれば、基板は、例えば図1に描かれたゲートスタック117及び118等のゲートスタックを含むことができる。
工程202にて処理チャンバー内に基板を設置した後、図3Aに示されるように、基板は期間t中に第1の基板温度Tまで加熱される。第1の基板温度Tは、全体の熱量を考慮して選択されることができ、また、気孔率(porosity)、元素組成、密度などの所望特性を有するSiNC:H膜の実際の堆積速度を考慮して選択されることができる。本発明の一実施形態によれば、第1の基板温度Tは約500℃と約800℃との間とし得る。SiNC:H膜の堆積に使用される温度のバラつきは+/−20℃未満とし得る。他の例では、第1の基板温度Tは約525℃と約575℃との間であってもよく、例えば550℃とし得る。図3Aに例示されているように、期間tは遷移段階であり、例えば約2分と約15分との間の時間を有し得る。
工程204にて、期間t中に、多孔質SiNC:H膜がLPCVDプロセスにて基板上に堆積される。本発明の一実施形態によれば、SiNC:H膜は基板を有機シランガス及び窒素含有ガスを含むプロセスガスに曝すことによって堆積され得る。本発明の一実施形態によれば、有機シランガスは、例えば上述のBTBASガスとすることができ、窒素含有ガスは例えばNHとすることができる。プロセスガスは、BTBAS:NH比が1:2(0.5:1)より大きく設定されたBTBAS及びNHを含み得る。本発明の他の一実施形態においては、BTBAS:NH比は約2:1と約8:1との間とし得る。BTBAS:NH比のバラつきは+/−5%とし得る。上述のBTBAS:NH比は単なる典型例であり、本発明においてはその他のBTBAS:NH比が用いられてもよい。ここで与えられた比は、多孔質であり且つ後続の反応性に富んだ緻密化に適したシリコン窒化膜を堆積することの望ましさのために好ましいものであるが、一般に、多孔質のSiNC:H膜を堆積させる如何なる比率の有機シランガス及び窒素含有ガスも本発明において用いられ得る。
工程204において、処理チャンバーの圧力は約0.05Torrと約200Torrとの間に維持され得る。この処理チャンバーの圧力範囲は、バッチ式処理及び枚葉式処理の双方で用いられ得る。例えば、バッチ式処理システム又は枚葉式処理システムにおける処理チャンバー圧力は、約0.3Torrと約10Torrとの間に維持されることができ、例えば約0.5Torrにされてもよい。SiNC:H膜の堆積中の処理チャンバー圧力のバラつきは+/−5%とし得る。プロセスガスに曝すことは、所定の処理条件下で、所望の厚さを有するSiNC:H膜をもたらす時間にわたって行われる。本発明の一実施形態において、多孔質SiNC:H膜を堆積するためのプロセスレシピは、直接実験及び/又は実験計画法(DOE)によって洗練され得る。
本発明を実施することには必要とされないが、本発明の一実施形態において、基板上へのSiNC:H膜の堆積に続いて、工程206にて基板は期間t中に第1の基板温度Tから該第1の基板温度Tより高い第2の基板温度Tまで加熱される。本発明の一実施形態によれば、第2の基板温度Tは約500℃と約800℃との間とし得る。他の例では、第2の基板温度Tは約525℃と約575℃との間であってもよい。一例において、T及びTは例えば550℃とし得る。SiNC:H膜の堆積において使用される温度のバラつきは堆積工程中に+/−10℃、そして酸素アニール中に+/−5℃とし得る。
本発明の一実施形態において、処理チャンバーは、CVD工程204のプロセスガスを処理チャンバーから除去するために、期間tに先立って、あるいは期間t中に排気されてもよい。期間tは遷移段階であり、その長さは、システム設計、及び堆積工程204の第1の基板温度Tと第2の基板温度Tとの処理温度差に応じて変えられてもよい。図3Aに描かれた本発明の実施形態によれば、TはTに等しい。期間tは、例えば、約5分と約45分との間とし得る。この期間は本発明の様々な実施形態においては必要とされない。
工程206にて、多孔質SiNC:H膜は、該多孔質SiNC:H膜より高い密度を有する緻密化されたSiONC:H膜を形成するように、第2の基板温度Tで時間tにわたって酸素含有ガスに曝される。本発明の一実施形態において、結果として得られる緻密化されたSiONC:H膜は約1.5GPaより大きい引張応力を有する。本発明の一実施形態によれば、酸素含有ガスは空気とし得る。他の例では、酸素含有ガスはO、HO、又はこれらの組み合わせを含んでいてもよい。工程206にて、多孔質SiNC:H膜を酸素含有ガスに曝すことにより、膜は酸化・緻密化され、結果として得られる膜の引張応力が増大される。工程206の処理パラメータは更に、工程204中の約1Torrと約1000Torrとの間の処理チャンバー圧力を含み得る。SiNC:H膜の酸化中に使用される処理チャンバー圧力のバラつきは+/−5%とし得る。酸化プロセスガスに基板を曝すことは、所定の処理条件下で、所望の引張応力レベルを有するSiONC:H膜をもたらす時間にわたって行われる。
本発明の一実施形態によれば、酸化工程206はプラズマを用いずに実行されてもよい。これは、プラズマによる潜在的な基板ダメージ及び/又は帯電効果を生じさせることなく、引張応力を有するSiONC:H膜をゲートスタックのチャネル領域に近接させて集積させることを可能にする。本発明の他の一実施形態においては、引張応力を有するSiONC:H膜は、図1に示されたスペーサ121とともに、あるいはスペーサ121として集積されてもよい。
本発明の他の一実施形態によれば、酸化工程206は遠隔(リモート)プラズマ源を用いて実行されてもよく、このとき、SiNC:H膜を含む基板はプラズマに直接的に接触するように置かれるのではなく、プラズマ放電の下流に置かれる。遠隔プラズマ源を含む典型的なバッチ式処理システムが図5に描かれている。
所望の引張応力を有するSiONC:H膜が工程206にて基板上に形成されると、本発明の一実施形態において、酸素含有ガスに曝すことが停止され、基板は期間t中に冷却され、それに続いて処理チャンバーから取り出される。処理チャンバーから基板を取り出した後、得られた緻密化されたSiONC:H膜の引張応力は測定されることができる。期間t及びtのように、期間tは遷移段階であり、その長さは可変にされてもよい。期間tは、例えば、約2分と約15分との間の時間とし得るが、これは本発明の一部の実施形態においては必要とされない。
図2には示されていないが、プロセス200の工程群の間にパージ段階が行われてもよい。例えば、処理チャンバーは、堆積工程204と曝し工程206との間の期間t中に、該処理チャンバーから堆積ガスを除去して基板表面を清浄に保つためにパージされてもよい。パージガスは、例えば、H、N又は希ガス等の不活性ガスを含み得る。また、パージ工程のうちの1つ又は複数は、パージガスが流されないポンプダウン工程で置換・補完されてもよい。
図2のプロセスフローの工程又は段階の各々は、1つ又は複数の別個の工程及び/又は処理を包含していてもよい。従って、202、204、206の3つのみの工程が列挙されていることは、本発明に係る方法を3つの工程又は段階のみに限定するものとして理解されるべきではない。また、典型的な工程又は段階202、204、206の各々は単一のプロセスに限定されるものとして理解されるべきではない。
本発明の一実施形態によれば、工程204及び206は、必要な厚さを有するSiONC:H膜を形成するのに必要な回数だけ繰り返されることができる。例えば、工程204及び206は一旦、約5nmと約50nmとの間の厚さを有する第1のSiONC:H膜をもたらすように用いられてもよい。そして、工程204及び206は、第1のSiONC:H膜の上に第2のSiONC:H膜を形成するように繰り返されてもよい。工程204及び206を繰り返すことにより、SiONC:H膜は、例えば約10nmから約1000nmといった如何なる所望厚さにも構築されることができる。得られるSiONC:H膜の厚さバラつきは+/−5%とし得る。
図3Bは、SiNC:H膜の堆積と、それに続く緻密化されたSiONC:H膜の形成とに関する基板温度の変化を処理時間の関数として例示している。図3Bに描かれた実施形態によれば、第2の基板温度Tは第1の基板温度Tより高くされている。図3Bにおいては、工程204と206との間に基板温度が上昇させられるので、遷移期間tは図3Aの遷移期間tより長くされていてもよい。例えば、Tは約500℃、Tは約550℃とすることができる。他の一例においては、Tは約550℃、Tは約600℃としてもよい。SiNC:H膜及び得られるSiONC:H膜を処理する際の基板温度のバラつきは、堆積工程において+/−10℃、酸素アニールにおいて+/−5℃とし得る。
図3Cは、SiNC:H膜の堆積と、それに続く緻密化されたSiONC:H膜の形成とに関する基板温度の変化を処理時間の関数として例示している。図3Cに描かれた実施形態によれば、第2の基板温度Tは第1の基板温度Tより低くされている。図3Cにおいては、工程204と206との間に基板温度が下降させられるので、遷移期間tは図3Aの遷移期間tより長くされていてもよい。例えば、Tは約600℃、Tは約550℃とすることができる。他の一例においては、Tは約550℃、Tは約500℃としてもよい。
続いて例示的な実施例を説明する。
本発明に係る緻密化膜の一実施例において、LPCVDプロセスにて基板をBTBAS及びNHに曝すことによってSiNC:H膜を堆積した。この実施例での堆積の圧力は0.5Torrとした。BTBAS:NHガス流量比は4:1、及び8:1とした。4:1の流量比の場合、BTBAS流量は100sccm、NH流量は25sccmとした。8:1の流量比の場合、BTBAS流量は100sccm、NH流量は12.5sccmとした。基板は550℃の温度に維持した。堆積されたSiNC:H膜は約570MPaから約760MPaの範囲の中程度の圧縮応力を示した。その後、堆積されたSiNC:H膜を550℃のSiNC:H堆積温度にて、あるいはその温度付近で空気に曝し、緻密化されたSiONC:H膜を形成した。以下の解釈に限定されるものではないが、大気暴露時の膜の屈折率及び膜厚さの低下は、酸素がSiNC:H膜内に拡散し、続いてSiNC:H膜内のダングリング(不飽和)ボンド又は弱い化学結合と相互作用し、それにより、微小ボイドを削減し、堆積されたままのSiNC:Hのネットワーク構造を緻密化するように作用する酸素混入をもたらしたことを指し示している。550℃における大気暴露による、堆積されたままのSiNC:Hネットワークの緻密化及び強化により、約1.5GPaから約2.3GPaの範囲の引張応力レベルを有する緻密化されたSiONC:H膜が得られた。
他の一実施例においては、LPCVDプロセスにてSiO層を含むSi基板をBTBAS及びNHに曝すことによって約25nmのSiNC:H膜を堆積した。この実施例での堆積の圧力は0.5Torrとした。BTBAS:NHガス流量比は1:2(すなわち、比較的高いNH流量)、及び4:1(すなわち、比較的高いBTBAS流量)とした。高いBTBAS流量は、高レベルの微小ボイド及び例えば炭素などの不純物を伴う低品質のSiNC:H膜(膜B)を生じさせた。高いNH流量は、一層低い炭素混入を伴い、一層低い捕捉された堆積副生成物と一層高い膜密度とを指し示すSiNC:H膜(膜A)を生じさせた。SiNC:H膜の堆積、及びそれに続くSiNC:H膜の大気暴露によるSiONC:H膜の形成は、550℃の基板温度で実行した。
得られたSiONC:H膜の二次イオン質量分析(SIMS)深さプロファイルが図4A(すなわち、膜A)及び4B(すなわち、膜B)に示されている。図4Aは、膜AのC信号510、H信号512及びO信号514を示している。図4Bは、膜BのC信号520、H信号522及びO信号524を示している。膜Aは、1.2GPaの引張応力を有していたが、膜Bは2.3GPaという一層大きい引張応力を有していた。図4Aの酸素信号514と図4Bの酸素信号524との比較は、多孔質膜B内の高い酸素レベル及び酸素濃度傾斜プロファイルを示しており(領域526を参照)、一方、あまり多孔質でない膜Aは大気暴露中の一層低い酸素混入を示している(領域516を参照)。
多孔質SiNC:H膜からの緻密化されたSiONC:H膜の形成は、多孔質SiONC:H膜内の酸素の拡散によって影響を受けることが本発明の発明者によって見出された。さらに、BTBAS及びNHから堆積されたSiNC:H膜への効率的な酸素混入は、SiONC:H膜の表面付近の約50nm領域で高められることが本発明の発明者によって見出された。しかしながら、本発明の一実施形態によれば、約50nmより大きい厚さを有する高い応力のSiONC:H膜も、複数の堆積/酸化サイクルによって形成されることができる。
本発明の様々な実施形態は効率的に実行されることができ、また、集積回路(IC)の製造に現在用いられている処理システムと統合されることができ、例えば堆積後のUV処理によって引張応力を有するシリコン窒化膜を形成するために使用されるような、更なる高額ハードウェアを必ずしも必要としない。
図5は、本発明の一実施形態に従って基板を処理するための、上述の遠隔プラズマ源を含むバッチ式処理システムを、簡略化されたブロック図で示している。図5に示されているように、バッチ式処理システム1は、処理チャンバー10及び処理チューブ25を含んでおり、処理チューブ25は、排気管80に接続された上端部23と円筒形の連結管(manifold)2の蓋部27に密封接合された下端部24とを有している。排気管80は、処理システム1内に所定の大気圧又は大気圧未満を維持するように、処理チューブ25から真空ポンプ系88へとガスを排出する。複数の基板(ウェハ)40を(鉛直方向に間隔を空けたそれぞれの水平面に)階段状に保持する基板ホルダー35が処理チューブ25内に配置されている。基板ホルダー35は、蓋部27を貫通しモータ28によって駆動される回転シャフト21に搭載された回転台26上に備えられている。回転台26は全体的な膜の均一性を改善するために処理中に回転させられることが可能である。他の例では、回転台は処理中に静止していてもよい。蓋部27は、基板ホルダーを処理チューブ25に対して搬出/搬入するエレベータ22に搭載されている。蓋部27は、自身の最高地点に位置付けられるときに連結管2の開口端を閉じるように適合されている。
図5に示されているように、処理チャンバー10にガスを導入するようにガス供給系97が構成されている。連結管2の周りには複数のガス供給管が配置されており、該ガス供給管を介して複数のガスが処理チューブ25内に供給される。図5においては、複数のガス供給管の内の1つのガス供給管45のみが示されている。図示されたガス供給管45は第1のガス源94に接続されている。一般に、第1のガス源94は、(1)基板40上に多孔質SiNC:H膜を堆積するための有機シランガス及び窒素含有ガス、及び(2)多孔質SiNC:H膜を酸化するための例えば空気、O、HO又はO等の酸素含有ガス、を含む基板40を処理するためのガスを供給することができる。
加えて、あるいはこれに代えて、ガスの1つ以上は、第2のガス源96と、ガス供給管45を介して処理チャンバー10とに動作可能に結合された(遠隔)プラズマ源95から供給されることができる。プラズマ励起ガスがガス供給管45によって処理チューブ25に導入される。プラズマ源95は、例えば、マイクロ波プラズマ源、無線周波数(RF)プラズマ源、又は光放射によって給電されるプラズマ源とし得る。マイクロ波プラズマ源の場合、マイクロ波のパワーは約500Wと約5000Wとの間とし得る。マイクロ波の周波数は、例えば、2.45GHz又は8.3GHzとし得る。本発明の一実施形態において、遠隔プラズマ源は、米国マサチューセッツ州のMKSインスツルメント社によって製造されているAX7610型ダウンストリームプラズマ源とし得る。
円筒形の熱反射体30が反応チューブ25を覆うように配置されている。熱反射体30は好ましくは、主ヒータ20、底部ヒータ65、頂部ヒータ15及び排気管ヒータ70により放射される放射熱の消散を抑制するために、鏡面仕上げされた内表面を有している。冷却媒体の通路として処理チャンバー10の壁に螺旋状の冷却水路(図示せず)が形成されていてもよい。ヒータ20、65及び15は、例えば、基板40の温度を約20℃と約900℃との間に維持することが可能である。
真空ポンプ系88は真空ポンプ86、トラップ84及び自動圧力コントローラ(APC)82を含んでいる。真空ポンプ86は、例えば、最大で毎秒20,000リットル(以上)のポンプ速度が可能なドライ式真空ポンプを含み得る。処理中、ガスはガス供給系97のガス供給管を介して処理チャンバー10に導入されることができ、処理圧力はAPC82によって調整されることができる。トラップ84は未反応の前駆物質及び副生成物を、これらの生成物が処理チャンバー10から流れ出るときに収集することができる。
処理監視系92は、実時間での処理監視が可能なセンサー75を含んでおり、例えば、質量分析計(MS)、FTIR分光計、又は粒子カウンタを含むことができる。コントローラ90はマイクロプロセッサ、メモリ、及び処理システム1からの出力を監視するとともに、処理システム1の入力に対して信号を伝達し作動させるのに十分な制御電圧を生成することが可能なデジタル入/出力ポートを含んでいる。また、コントローラ90はガス供給系97、モータ28、処理監視系92、ヒータ20、15、65及び70、並びに真空ポンプ系88に結合されており、それらと情報を交換することが可能である。コントローラ90はDELL PRECISION WORKSTATION610(登録商標)として実現されてもよい。コントローラ90はまた、コントローラ90がコンピュータ読み取り可能媒体に格納された1つ以上の命令から成る1つ以上のシーケンスを実行することに応答して、本発明の処理工程群の一部又は全てを基板処理装置に実行させる、汎用コンピュータ、プロセッサ、デジタル信号プロセッサ等として実現されてもよい。コンピュータ読み取り可能媒体又はメモリは、本発明の教示に従ってプログラムされた命令を保持し、且つデータ構造、テーブル、記録又はここで説明されるその他のデータを格納する。コンピュータ読み取り可能媒体の例は、コンパクトディスク、ハードディスク、フロッピーディスク、テープ、磁気光学ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、その他の何らかの磁気媒体、コンパクトディスク(例えば、CD−ROM)、その他の何らかの光学媒体、パンチカード、紙テープ、穴パターンを有するその他の物理媒体、搬送波、又はコンピュータが読み取り可能なその他の何らかの媒体である。
コントローラ90は、処理システム1に対してローカルに配置されていてもよいし、あるいはインターネット又はイントラネットを介して処理システム1に対して遠隔に配置されていてもよい。故に、コントローラ90は、直接接続、イントラネット及びインターネットのうちの少なくとも1つを用いて処理システム1とデータを交換し得る。コントローラ90は、顧客側(すなわち、デバイスメーカー等)のイントラネットに結合されていてもよいし、製造供給元(すなわち、装置製造者)のイントラネットに結合されていてもよい。また、他のコンピュータ(すなわち、コントローラ、サーバ等)が、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータ交換するために、コントローラ90にアクセスしてもよい。
理解されるべきことには、図5に描かれたバッチ式処理システム1は単に例示目的で示されたものであり、具体的なハードウェアには本発明を実施するために数多くの変更が為され得る。図5の処理システム1は、例えば、200mm基板、300mm基板、又は更に大きい基板等の如何なるサイズの基板をも処理することができる。また、処理システム1は最大で200枚の基板、又はそれより多くの基板を同時に処理してもよい。他の例では、処理システム1は最大で約25枚の基板を同時に処理してもよい。
他の例では、本発明の一実施形態に従って大きい応力を有するシリコン酸窒化膜を形成するために、枚葉式の堆積システムが用いられてもよい。枚葉式堆積システムの一例は、2004年9月30日に出願された「A METHOD FOR FORMING A THIN COMPLETE HIGH−PERMITTIVITY DIELECTRIC LAYER」という発明名称の米国特許出願第11/711721号明細書に記載されている。なお、参照することによりその内容全体がここに組み込まれる。
以上の教示を踏まえ、本発明には数多くの変更及び変形が為され得る。故に、添付の請求項の範囲内で、本発明はここに具体的に記載されたものとは別の手法で実施され得ることが理解されるであろう。
引張応力を有するシリコン酸窒化膜を含む、本発明の一実施形態に従ったMOSデバイスを概略的に示す断面図である。 引張応力を有するシリコン酸窒化膜を形成するための、本発明の一実施形態に従ったフロー図である。 本発明の実施形態に従った高い引張応力を有するシリコン酸窒化膜の形成のための基板温度変化を処理時間の関数として示す図である。 本発明の実施形態に従った高い引張応力を有するシリコン酸窒化膜の形成のための基板温度変化を処理時間の関数として示す図である。 本発明の実施形態に従った高い引張応力を有するシリコン酸窒化膜の形成のための基板温度変化を処理時間の関数として示す図である。 シリコン酸窒化膜のSIMS深さプロファイルを示す図である。 シリコン酸窒化膜のSIMS深さプロファイルを示す図である。 本発明の一実施形態に従ったバッチ式処理システムを示す概略図である。

Claims (28)

  1. シリコン酸窒化膜を形成する方法であって:
    基板上に多孔質SiNC:H膜を堆積する工程;及び
    前記多孔質SiNC:H膜より高い密度を有する緻密化されたSiONC:H膜を形成するために、前記多孔質SiNC:H膜を酸素含有ガスに曝す工程;
    を有する方法。
  2. 前記多孔質SiNC:H膜を酸素含有ガスに曝す工程は:
    約1.5GPa以上の引張応力を有する緻密化されたSiONC:H膜を生成すること
    を有する、請求項1に記載の方法。
  3. 前記堆積する工程は:
    有機シランガス及び窒素含有ガスを含むプロセスガスに前記基板を曝す暴露工程
    を有する、請求項1に記載の方法。
  4. 前記堆積する工程は:
    BTBAS及びNHを含むプロセスガスに前記基板を曝す暴露工程
    を有する、請求項1に記載の方法。
  5. 前記暴露工程は:
    0.5:1より大きいBTBAS:NH比を有するプロセスガスを供給すること
    を有する、請求項4に記載の方法。
  6. 前記暴露工程は:
    約2:1と約8:1との間のBTBAS:NH比を有するプロセスガスを供給すること
    を有する、請求項4に記載の方法。
  7. 前記堆積する工程は更に:
    前記基板を約500℃と約800℃との間の温度に維持すること
    を有する、請求項4に記載の方法。
  8. 前記堆積する工程は更に:
    前記基板を約525℃と約575℃との間の温度に維持すること
    を有する、請求項4に記載の方法。
  9. 前記堆積する工程は更に:
    処理チャンバーの圧力を約0.05Torrと約200Torrとの間に維持すること
    を有する、請求項1に記載の方法。
  10. 前記堆積する工程は更に:
    処理チャンバーの圧力を約0.3Torrと約10Torrとの間に維持すること
    を有する、請求項1に記載の方法。
  11. 前記堆積する工程は:
    前記SiNC:H膜を、約5nmと約50nmとの間の厚さまで堆積すること
    を有する、請求項1に記載の方法。
  12. 前記多孔質SiNC:H膜を酸素含有ガスに曝す工程は:
    前記SiNC:H膜を空気に曝すこと
    を有する、請求項1に記載の方法。
  13. 前記多孔質SiNC:H膜を酸素含有ガスに曝す工程は:
    前記SiNC:H膜を、O、HO、O、又はこれらの組み合わせのうちの少なくとも1つに曝すこと
    を有する、請求項1に記載の方法。
  14. 前記多孔質SiNC:H膜を酸素含有ガスに曝す工程は:
    処理チャンバーの圧力を約1Torrと約1000Torrとの間の圧力に維持すること
    を有する、請求項13に記載の方法。
  15. 前記多孔質SiNC:H膜を堆積する工程、及び前記多孔質SiNC:H膜を酸素含有ガスに曝す工程を、前記SiONC:H膜が所定の厚さになるまで繰り返す工程;
    を更に有する請求項1に記載の方法。
  16. 前記多孔質SiNC:H膜を堆積する工程を繰り返す工程は:
    前記SiONC:H膜が約10nmと約1000nmとの間の厚さになるまで当該繰り返す工程を継続すること
    を有する、請求項15に記載の方法。
  17. 前記基板上に多孔質SiNC:H膜を堆積する工程は:
    前記基板上に形成された少なくとも1つのドープト領域及びゲートスタックを有するデバイス上に堆積すること
    を有する、請求項1に記載の方法。
  18. 前記堆積する工程は、前記曝す工程とほぼ同一の温度、前記曝す工程より低い温度、又は前記曝す工程より高い温度で行われる、請求項1に記載の方法。
  19. 前記多孔質SiNC:H膜を酸素含有ガスに曝す工程は:
    約1.5GPa以上且つ約3.0GPa未満の引張応力を有する緻密化されたSiONC:H膜を生成すること
    を有する、請求項1に記載の方法。
  20. 基板;及び
    前記基板上に配置された約1.5GPa以上の引張応力を有するSiONC:H膜であり、前記基板上に多孔質SiNC:H膜を堆積することと、該多孔質SiNC:H膜を緻密化して当該SiONC:H膜を形成するように、該多孔質SiNC:H膜を酸素含有ガスに曝すこととによって形成されたSiONC:H膜;
    を有する半導体デバイス。
  21. 前記基板は更に:
    当該基板上に形成された少なくとも1つのドープト領域及びゲートスタックを有するデバイス
    を有する、請求項20に記載の半導体デバイス。
  22. 前記SiONC:H膜の厚さは、約10nmと約1000nmとの間である、請求項20に記載の半導体デバイス。
  23. シリコン酸窒化膜を形成するシステムであって:
    基板上に多孔質SiNC:H膜を堆積する手段;及び
    前記SiNC:H膜に酸素を混入し、前記多孔質SiNC:H膜より高い密度を有する緻密化されたSiONC:H膜を形成するために、前記多孔質SiNC:H膜を酸素含有ガスに曝す手段;
    を有するシステム。
  24. 前記曝す手段は、約1.5GPa以上の引張応力を有する緻密化されたSiONC:H膜を生成する、請求項23に記載のシステム。
  25. 前記堆積する手段は低圧化学気相成長システムを有する、請求項23に記載のシステム。
  26. 前記低圧化学気相成長システムは圧力を約1Torrと約1000Torrとの間に操作するように構成されている、請求項25に記載のシステム。
  27. 前記曝す手段は遠隔プラズマ源を有する、請求項23に記載のシステム。
  28. 前記曝す手段は、O、HO、O、又はこれらの組み合わせのうちの少なくとも1つのソースを有する、請求項23に記載のシステム。
JP2008533339A 2005-09-30 2006-07-28 引張応力を有するシリコン酸窒化膜を形成する方法 Expired - Fee Related JP5219815B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/239,318 US7498270B2 (en) 2005-09-30 2005-09-30 Method of forming a silicon oxynitride film with tensile stress
US11/239,318 2005-09-30
PCT/US2006/029220 WO2007040749A2 (en) 2005-09-30 2006-07-28 A method of forming a silicon oxynitride film with tensile stress

Publications (2)

Publication Number Publication Date
JP2009513000A true JP2009513000A (ja) 2009-03-26
JP5219815B2 JP5219815B2 (ja) 2013-06-26

Family

ID=37902457

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008533339A Expired - Fee Related JP5219815B2 (ja) 2005-09-30 2006-07-28 引張応力を有するシリコン酸窒化膜を形成する方法

Country Status (4)

Country Link
US (1) US7498270B2 (ja)
JP (1) JP5219815B2 (ja)
TW (1) TWI326113B (ja)
WO (1) WO2007040749A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011108737A (ja) * 2009-11-13 2011-06-02 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法、及び膜の形成方法
JP2013232653A (ja) * 2006-09-29 2013-11-14 Tokyo Electron Ltd 歪みゲルマニウム含有層を有するデバイスのためのuv支援による誘電層形成
WO2019087445A1 (ja) * 2017-10-31 2019-05-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8294224B2 (en) * 2006-04-06 2012-10-23 Micron Technology, Inc. Devices and methods to improve carrier mobility
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7491585B2 (en) 2006-10-19 2009-02-17 International Business Machines Corporation Electrical fuse and method of making
US20080116525A1 (en) * 2006-11-16 2008-05-22 United Microelectronics Corp. Complementary metal-oxide-semiconductor device
US20080153236A1 (en) * 2006-12-22 2008-06-26 Ning Cheng Flash memory devices and methods for fabricating the same
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
JP4852016B2 (ja) * 2007-10-29 2012-01-11 株式会社東芝 半導体装置及びその製造方法
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) * 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9711351B2 (en) * 2014-09-11 2017-07-18 Asm Ip Holding B.V. Process for densifying nitride film
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10559470B2 (en) * 2018-01-22 2020-02-11 Globalfoundries Inc. Capping structure
US10950731B1 (en) 2019-09-17 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06232170A (ja) * 1993-01-29 1994-08-19 Mitsubishi Electric Corp 電界効果トランジスタ及びその製造方法
JP2004153066A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
WO2005045914A1 (en) * 2003-10-21 2005-05-19 Freescale Semiconductor, Inc. Method of forming a low k dielectric in a semiconductor manufacturing process
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5514908A (en) * 1994-04-29 1996-05-07 Sgs-Thomson Microelectronics, Inc. Integrated circuit with a titanium nitride contact barrier having oxygen stuffed grain boundaries
JP4493779B2 (ja) * 2000-01-31 2010-06-30 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US6803289B1 (en) * 2002-06-28 2004-10-12 Cypress Semiconductor Corp. Bipolar transistor and method for making the same
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06232170A (ja) * 1993-01-29 1994-08-19 Mitsubishi Electric Corp 電界効果トランジスタ及びその製造方法
JP2004153066A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
WO2005045914A1 (en) * 2003-10-21 2005-05-19 Freescale Semiconductor, Inc. Method of forming a low k dielectric in a semiconductor manufacturing process
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013232653A (ja) * 2006-09-29 2013-11-14 Tokyo Electron Ltd 歪みゲルマニウム含有層を有するデバイスのためのuv支援による誘電層形成
JP2011108737A (ja) * 2009-11-13 2011-06-02 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法、及び膜の形成方法
WO2019087445A1 (ja) * 2017-10-31 2019-05-09 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
JP5219815B2 (ja) 2013-06-26
US20070077777A1 (en) 2007-04-05
WO2007040749A3 (en) 2009-04-16
US7498270B2 (en) 2009-03-03
TW200717653A (en) 2007-05-01
TWI326113B (en) 2010-06-11
WO2007040749A2 (en) 2007-04-12

Similar Documents

Publication Publication Date Title
JP5219815B2 (ja) 引張応力を有するシリコン酸窒化膜を形成する方法
JP5509472B2 (ja) 歪みシリコン窒化物膜及び該膜を含むデバイスの作製方法
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
US7622401B2 (en) Method of producing insulator thin film, insulator thin film, method of manufacturing semiconductor device, and semiconductor device
US20080014759A1 (en) Method for fabricating a gate dielectric layer utilized in a gate structure
JP2010530127A5 (ja)
US7524769B2 (en) Method and system for removing an oxide from a substrate
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
KR20080002908A (ko) 고유전율의 유전체층 형성 방법 및 시스템
KR100860683B1 (ko) 성막 방법 및 열처리 장치
US8119540B2 (en) Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
JP2007123662A (ja) 半導体装置の製造方法および半導体装置
KR100944831B1 (ko) 반도체 장치의 제조 방법 및 성막 장치
JP2006005370A (ja) 半導体装置の製造方法
WO2010038888A1 (ja) 窒化酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
KR20180108455A (ko) 가열 방법, 성막 방법, 반도체 장치의 제조 방법, 및 성막 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090708

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120622

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121204

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121211

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130305

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160315

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees