JP5509472B2 - 歪みシリコン窒化物膜及び該膜を含むデバイスの作製方法 - Google Patents

歪みシリコン窒化物膜及び該膜を含むデバイスの作製方法 Download PDF

Info

Publication number
JP5509472B2
JP5509472B2 JP2010501038A JP2010501038A JP5509472B2 JP 5509472 B2 JP5509472 B2 JP 5509472B2 JP 2010501038 A JP2010501038 A JP 2010501038A JP 2010501038 A JP2010501038 A JP 2010501038A JP 5509472 B2 JP5509472 B2 JP 5509472B2
Authority
JP
Japan
Prior art keywords
plasma
substrate
level
silane
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010501038A
Other languages
English (en)
Other versions
JP2010530127A (ja
JP2010530127A5 (ja
Inventor
ディー クラーク,ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2010530127A publication Critical patent/JP2010530127A/ja
Publication of JP2010530127A5 publication Critical patent/JP2010530127A5/ja
Application granted granted Critical
Publication of JP5509472B2 publication Critical patent/JP5509472B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Description

本発明は半導体プロセスに関する。より詳細には本発明は、歪みシリコン窒化物膜及び該膜を含むデバイスの作製方法に関する。
窒化物に基づく膜は、半導体デバイス及び超大規模集積回路において広く用いられている。たとえば窒化物膜は、半導体デバイスにおいては、他に多くの用途がある中でもとりわけ、ドーパントの拡散バリヤとして、微細部位のエッチング中でのエッチング停止膜として、及び作製されたデバイスを密閉する保護膜として広く用いられてきた。窒化物膜は、様々なプロセスシステム及びプロセス気体を用いて、低圧又は大気圧で堆積されて良い。これらのプロセスシステムはたとえば、熱化学気相成長(TCVD)法、プラズマ化学気相成長(PECVD)法、又はリモートPECVD法を実行して良い。
相補型金属-酸化物-半導体(CMOS)トランジスタの性能を向上させる最近の技術革新により、産業界は、現在の超大規模集積(ULSI)手法との相性が良い歪みセラミックス層を求めだしてきた。特に、n型金属-酸化物-半導体(nMOS)トランジスタのチャネルキャリア移動度は、そのMOSトランジスタのチャネル領域への一軸又は二軸の引っ張り歪みを導入することによって向上可能である。同様に圧縮歪みを受けた膜も、p型金属-酸化物-半導体(pMOS)トランジスタチャネルキャリア移動度の向上を実現させるのに用いられて良い。
従来、歪み膜は既存の製造プロセスとの相性が良い膜の後処理によって作製されてきた。たとえば歪みSiN膜の場合では、SiN膜が所望の厚さで形成され、その後紫外光で処理されて、その膜の表面領域での密度が変化することによって歪みが生成された。あるいはその代わりに、堆積されたSiN膜はその膜中の一部のNをOと置換する酸素含有気体によって処理されて良く、それによって歪みが生成される。本願発明者らは、歪み膜を作製するこれら従来の方法にはいくつかの問題があることを認識していた。
米国特許第5024716号明細書
第1に、堆積された膜の後処理は製造工程を増やすので処理能力が低下し、かつ高価な特殊目的の装置を必要とする恐れがある。それに加えて、堆積された膜の後処理は基本的に膜の表面に影響を及ぼす。これは歪みの大きさの制御を制限し、かつある程度の深さの処理プロセスを受容不可能なほどに長くしてしまう。結局従来のプロセスはCVDプロセスによって初期膜を堆積する。これでは実際、多くの小さな製造部位の厚さ及びコンフォーミティを十分受容可能なようには制御できない。
よって本発明の一の態様は、上述の問題及び歪みSiN層を供することに関連する他の問題のうちの1つ以上を緩和又は解決することである。
本発明の他の態様は、後処理ではなくSiN膜の形成中にそのSiN膜中に歪みを生成することである。本発明のこれら及び/又は他の態様は本発明の実施例によって供されて良い。
本発明の実施例によると、当該方法は、シリコン前駆体を含む気体に前記基板を曝露する工程、第1レベルのプラズマ出力のプラズマ源によって励起されて前記シリコン前駆体と第1反応特性で反応する窒素前駆体を含む気体に前記基板を曝露する工程、及び、前記第1レベルとは異なる第2レベルのプラズマ出力のプラズマ源によって励起されて前記シリコン前駆体と第2反応特性で反応する窒素前駆体を含む気体に前記基板を曝露することで、前記の基板上に作製されたシリコン窒化物膜の特性が前記歪みSiN膜を供するように変化する、工程を有する。
本発明の一の実施例によると、当該方法は、a)シリコン前駆体を含む気体パルスに前記基板を曝露する工程、b)第1レベルのプラズマ出力のプラズマ源によって励起される窒素前駆体を含む気体パルスに前記基板を曝露する工程、c)シリコン前駆体を含む気体パルスに前記基板を曝露する工程、d)第2レベルのプラズマ出力のプラズマ源によって励起される窒素前駆体を含む気体パルスに前記基板を曝露する工程、及び、e)工程a)-d)を所定の回数繰り返す工程、を有する。
本発明の実施例による歪みSiN膜を含むデバイスの断面図を概略的に図示している。 本発明の実施例による基板上に歪みSiN膜を作製するプロセスシステムを図示している。 本発明の実施例による基板上に歪みSiN膜を作製するプロセスフローダイアグラムである。 本発明の実施例による基板上に歪みSiN膜を作製するプロセスフローダイアグラムである。 本発明の実施例による基板上に歪みSiN膜を作製するプロセスフローダイアグラムである。 本発明の実施例による基板上に歪みSiN膜を作製するプロセスフローダイアグラムである。 本発明の実施例による基板上に歪みSiN膜を作製するプロセスフローダイアグラムである。 A及びBは、本発明の実施例によるプロセスチャンバと結合したプラズマ出力の様々なレベルを示す出力図を表している。
本発明の実施例はプロセスチャンバ内での歪みSiN膜を堆積するプロセス方法を記載している。本発明の実施例によると、窒素前駆体のシリコン前駆体に対する反応性の差異は、膜の厚さ全体にわたる密度勾配を有するようにSiN膜を堆積するのに利用される。反応性の差異は、プロセス中に窒素プラズマ励起を変化させることによって実現される。たとえば反応性は、プラズマ励起の種類及びその励起に用いられるプラズマ出力のレベルによって制御可能である。本発明の実施例によると、プラズマ励起は、プロセスチャンバ内の直接プラズマ源を用いることによって、又はリモートプラズマ源を用いることによって実現されて良い。
たとえばプラズマ励起は、SiNの堆積速度及び膜の密度を変化させるのに利用することができる。場合によっては、この密度の差異は、シリコン前駆体の変化、窒素前駆体の変化、及び/又は他のプロセス条件の変化によってさらに影響を受けると考えられる。たとえばSiNのようなアモルファス膜の厚さ全体にわたって密度が変化する結果、SiN膜全体にわたって発生する熱膨張係数のばらつきによってSiN膜が歪む。その膜は引っ張り歪みを受けても良いし、又は圧縮歪みを受けても良い。
一例では、本発明の実施例は、「背景技術」で述べたように、CMOSトランジスタのチャネル領域に歪みを生成するのに用いられて良い。本発明の他の実施例は、材料間の接合を向上させるため、又は熱サイクル中での剥離の危険性を減少させるため、熱膨張係数が大きく異なる材料間に設けられる歪みSiN膜の作製に利用されて良い。より具体的には、異なる材料間に堆積された歪みSiN膜は本発明の実施例によって創製されて良い。それにより、第1材料と接する膜は第1材料と相性の良い第1密度を有するが、密度は勾配を有し、第2材料の接触領域では第2材料と相性の良い第2密度に遷移する。
本発明の実施例は、歪みSiN膜を堆積するのに、プラズマ原子層堆積(PEALD)法、プラズマCVD(PECVD)法、又はパルスPECVD法を用いる。これらの堆積法は、広範囲にわたる材料の堆積を行う方法として周知である。PEALDは、連続飽和表面反応を用いるPECVD関連成膜法である。PEALDでは、気体状前駆体のパルスが交互に基板に曝露される。PECVDでは、気体状前駆体の連続流が基板に曝露される。パルスPECVDでは、1種類以上の気体状前駆体流が成膜中に周期的に中断される。PEALD、PECVD、及びパルスPECVDでは、プラズマ励起は、堆積プロセスの少なくとも一部の間に利用される。これにより、成膜中にプロセス条件を変化させることが可能となる。一例では、窒素前駆体(たとえばN2、アンモニア(NH3)、又はヒドラジン(N2H4))気体の励起に用いられるプラズマ出力のレベルは、PEALD、PECVD、及びパルスPECVD中に変化して良い。他の例では、希釈気体が、プラズマ出力のレベルを変化させて反応性に影響を及ぼしながら用いられて良い。
本明細書で用いられているように、SiN膜とはシリコン(Si)及び窒素(N)を主要元素として含む膜を指称する。SiN膜の元素組成は広範囲にわたるSi及びNの原子濃度で変化して良い。さらにSiN膜は、プロセス中又は基板搬送中に取り込まれる恐れのある不純物-たとえば炭素(C)、酸素(O)、塩素(Cl)、及び水素(H)-を含んでも良い。「膜」及び「層」という語は、基板上に堆積又は作製される材料を指称するものとして本明細書においては同義的に用いられている。
シリコン前駆体の例には、シラン(SiH4)、ジシラン(Si2H6)、モノクロロシラン(SiClH3)、ジクロロシラン(SiH2Cl2)、トリクロロシラン(SiHCl3)、ヘキサクロロジシラン(Si2Cl6)、ジエチルシラン、及びアルキルアミノシラン化合物が含まれるが、これらに限定されるわけではない。アルキルアミノシラン化合物の例には、ジ-イソプロピルアミノシラン(H 3 Si(NiPr 2 ))、ビス(tert-ブチルアミノ)シラン((C4H9(H)N)2SiH2)、テトラキス(ジメチルアミノ)シラン(Si(NMe2)4)、テトラキス(エチルメチルアミノ)シラン(Si(NEtMe)4)、テトラキス(ジエチルアミノ)シラン(Si(NEt2)4)、トリス(ジメチルアミノ)シラン(HSi(NMe2)3)、トリス(エチルメチルアミノ)シラン(HSi(NEtMe)3)、トリス(ジエチルアミノ)シラン(HSi(NEt2)3)、及びトリス(ジメチルヒドラジノ)シラン(HSi(N(H)NMe2)3)が含まれるがこれらに限定されるわけではない。
窒素前駆体の例には、N2、NH3、N2H4、及びC1-C10アルキルヒドラジン化合物が含まれるがこれらに限定されるわけではない。共通のC1及びC2アルキルヒドラジン化合物は、モノメチル-ヒドラジン(MeNHNH2)、1,1-ジメチル-ヒドラジン(Me2NNH2)、及び1,2-ジメチル-ヒドラジン(MeNHNHMe)を含む。
ここで図面を参照すると、図1Aは、本発明の実施例による歪みSiN膜を有するデバイスの断面を概略的に図示している。歪みSiN膜122はMOSデバイス上に堆積される。図示されているようにMOSデバイスは、歪みSiN膜122に加えて、ドーピング領域113と114(たとえばソースとドレイン)を有する基板112、ゲート積層体120、及びスペーサ121をも有する。基板112はたとえばSi、Ge、SiGe、又はGaAsウエハであって良い。基板112はたとえば200mm基板、300mm基板、又はそれによりも大きなサイズの基板であって良い。
ゲート積層体120はチャネル領域115上に誘電層116を有する。誘電層116はたとえば、SiO2層、SiN層、SiON層、若しくはこれらの混合層、又は他の適切な材料を有して良い。誘電層116はたとえば、高誘電率(high-k)誘電材料をさらに有して良い。High-k誘電材料はたとえば金属酸化物及びこれらのシリケートを有して良い。金属酸化物及びこれらのシリケートには、Ta2O5、TiO2、ZrO2、Al2O3、Y2O3、HfOxNy、HfSiOxNy、HfSiOx、HfO2、ZrSiOx、ZrOxNy、ZrSiOxNy、TaSiOx、SrOx、SrSiOx、LaOx、LaSiOx、YOx若しくはYSiOx又はこれらの2つ以上の混合物が含まれる。
図1Aの実施例では、導電層117(たとえばゲート電極層)は誘電層116上に形成され、かつシリサイド層118は、導電層117の電気抵抗を減少させるように導電層117上に形成される。ゲート積層体120を保護するためにキャップ層119がゲート積層体120の上部に設けられて良い。キャップ層119はたとえばSiN層であって良い。
本発明の一の実施例では、導電層117はドーピングされた多結晶シリコン(poly-Si)であって良く、かつシリサイド層118はタングステンシリサイドであって良い。ゲート積層体120は様々な層を有して良く、これらの層数は図1Aに図示された層数と異なっていて良い。一例では、層117及び/又は層118は、金属ゲート層によって置き換えられて良い。図1Aはさらに、ゲート積層体120を損傷から保護し、かつそのゲートの電気的性能を保証するため、スペーサ121がゲート積層体120の各面に形成されている様子を図示している。それに加えて、スペーサ121は、MOSデバイス100のソースとドレイン113と114を形成するハードマスクとして用いられて良い。あるいはその代わりに一の実施例では、2つ以上のスペーサ121が用いられて良い。あるいはその代わりに他の実施例では、歪みSiN膜がスペーサ121に導入されて良い。
一の実施例では、デバイス100はnMOSデバイスであって良い。nMOSデバイスでは、歪みSiN膜122は、チャネル領域115上での引っ張り歪みの導入によってチャネルキャリア移動度を増大させる。他の実施例では、デバイス100はpMOSデバイスであって良い。pMOSデバイスでは、歪みSiN膜122は、チャネル領域115上での圧縮歪みの導入によってチャネルキャリア移動度を増大させる。図1の実施例では、歪みSiN膜122は、デバイス100を密閉して保護する最終保護膜としても機能して良い。しかし歪みSiN膜は、様々な方法でCMOS構造に導入されることで、チャネル領域115上に応力を生じさせて良い。
図1Bは、本発明の実施例による基板上に歪みSiN膜を作製する処理システムを図示している。当該処理システム1は、PEALDプロセス、PECVDプロセス、若しくはパルスPECVDプロセス、又はこれらの2種類以上の組合せを実行するように備えられて良い。当該処理システム1は処理チャンバ10を有し、処理チャンバ10は、上に歪みSiN膜が堆積される基板25を支持するように備えられた基板ホルダ20を有する。処理チャンバ10は、処理チャンバ10へ処理気体を導入するように備えられている上部集合体31(たとえばシャワーヘッド)をさらに有する。上部集合体31は、シリコン前駆体気体供給システム40、窒素前駆体気体供給システム42、及びパージガス供給システム44と結合する。それに加えて、当該処理システム1は基板温度制御システム60を有する。基板温度制御システム60は、基板ホルダ20と結合して、基板25の温度を昇温又は降温して制御するように備えられている。さらに当該処理システム1は制御装置70を有する。制御装置70は、処理チャンバ10、基板ホルダ20、上部集合体31、シリコン前駆体気体供給システム40、窒素前駆体気体供給システム42、パージガス供給システム44、及び基板温度制御システム60と結合する。
あるいはその代わりに又はそれに加えて、制御装置70は1つ以上の追加制御装置/コンピュータ(図示されていない)と結合して良く、かつ制御装置70は追加制御装置/コンピュータからの設定及び/又は構成情報を取得して良い。
図1Bでは、個々の処理要素(10、20、31、40、42、44、及び60)が図示されているが、これは本発明にとって必須ではない。当該処理システム1は、独立の処理要素に加えて、関連する任意の数の制御装置を有する任意の数の処理要素を有して良い。
制御装置70は任意の数の処理要素(10、20、31、40、42、44、及び60)を設定するように備えられて良い。制御装置70は、処理要素からのデータを収集、提供、処理、記憶、及び表示して良い。制御装置70は、1つ以上の処理要素を制御する多数のアプリケーションを有して良い。たとえば制御装置70は、グラフィカルユーザーインターフェース(GUI)構成要素(図示されていない)を有して良い。GUI構成要素は、ユーザーが1つ以上の処理要素を監視及び/又は制御できるようになる使用が容易なインターフェースを供することができる。
さらに図1Bを参照すると、当該処理システム1は、200mm基板、300mm基板、又はより大きなサイズの基板を処理するように備えられて良い。実際、当業者には明らかなように、当該処理システム1は、基板、ウエハ、LCDをそのサイズに関係なく処理するように備えられて良いと考えられる。従って本発明の実施例は半導体基板の処理に関連して説明されているが、本発明は半導体基板の処理のみに限定されるわけではない。
シリコン前駆体気体供給システム40及び窒素前駆体気体供給システム42は、交互又は同時に気体を処理チャンバ10に導入するように備えられている。気体の導入の切り換えは周期的であって良いし、又はシリコン前駆体の導入と窒素前駆体の導入との間の周期が様々な非周期的であっても良い。
さらに図1Bを参照すると、パージガス供給システム44は、処理チャンバ10にパージガスを導入するように備えられている。たとえばパージガスの導入は、処理チャンバ10へのシリコン前駆体パルスの導入と窒素前駆体パルスの導入の間に行われて良い。パージガスはたとえば、希ガス(つまりHe、Ne、Ar、Kr、Xe)のような不活性ガス又は水素(H2)を有して良い。
さらに基板温度制御システム60は、基板ホルダ20と結合して基板25の温度を昇降させるように備えられている。基板温度制御システム60は温度制御素子を有する。温度制御素子にはたとえば、基板ホルダ20から熱を受け取り、かつ熱を熱交換システム(図示されていない)へ輸送するか又は加熱するときには熱交換システムから熱を輸送する再循環冷却流が含まれる。それに加えて温度制御素子は加熱/冷却素子-たとえば抵抗加熱素子又は熱電ヒーター/クーラーのような-を有して良い。加熱/冷却素子は、基板ホルダ20内だけではなく、処理チャンバ10のチャンバ壁又は当該処理システム1内部の他の構成要素内に含まれても良い。基板温度制御システム60はたとえば、室温から約350〜550℃にまで基板温度を昇温及び制御するように備えられて良い。あるいはその代わりに基板温度はたとえば約150〜350℃の範囲であって良い。しかし基板温度は、所与の基板表面上に特別なシリコン前駆体及び窒素前駆体から歪みSiN膜を堆積させるのに望ましい温度に基づいて選ばれることに留意して欲しい。
基板25と基板ホルダ20との間の熱伝達を改善するため、基板ホルダ20は、基板25を基板ホルダ20の上面に固定するため、機械固定システム又は電気固定システム-たとえば静電固定システム-を有して良い。さらに基板ホルダ20は、基板25と基板ホルダ20との間の熱伝導を改善するため、基板25の背面に気体を導入するように備えられている基板背面気体供給システムをさらに有して良い。係るシステムは、基板温度を昇温又は降温させるように制御する必要があるときに利用されて良い。たとえば基板背面気体供給システムは2領域気体分配システムを有して良い。ヘリウムガスギャップ圧は、基板25の中心部と端部との間で独立に変化して良い。
さらに図1Bの処理チャンバ10はさらに圧力制御システム32と結合する。圧力制御システム32は、真空排気システム34、バルブ36、及びダクト38を有する。圧力制御システム32は、処理チャンバ10を、基板25上に歪みSiN膜を作製するのに適した圧力にまで制御可能なように排気するように備えられている。真空排気システム34はターボ分子ポンプ(TMP)又はクライオポンプを有して良い。バルブ36はチャンバ圧力を絞るゲートバルブを有して良い。しかもチャンバ圧力を監視する装置(図示されていない)が処理チャンバ10と結合して良い。圧力測定装置は絶対キャパシタンスマノメータであって良い。圧力制御システム32はたとえば、SiN膜の堆積中に処理チャンバ圧力を約0.1Torr〜約100Torrに制御するように備えられて良い。
シリコン前駆体気体供給システム40、窒素前駆体気体供給システム42、及びパージガスシステム44は、1つ以上の圧力制御装置、1つ以上の流量制御装置、1つ以上のフィルタ、1つ以上のバルブ、及び/又は1つ以上の流量センサを有して良い。流量制御装置は、ニューマティック駆動バルブ、電気機械(ソレノイド)バルブ、及び/又は高速パルスガス注入バルブを有して良い。本発明の実施例によると、気体は順次そして交互にパルスで処理チャンバ10に導入されて良い。各気体パルスの長さはたとえば約0.1秒〜約100秒であって良い。あるいはその代わりに各気体パルスの長さは約1秒〜約10秒であっても良い。
処理システムは、処理チャンバ10内での気体曝露の少なくとも一部の間にプラズマを生成するように備えられたプラズマ生成システムをさらに有する。本発明の一の実施例によると、プラズマ励起した窒素は、N2、NH3、N2H4、若しくはC1-C10アルキルヒドラジン化合物、又はこれらの混合物から生成されて良い。
プラズマ生成システムは第1出力源52を有する。第1出力源52は、処理チャンバ10と結合して、出力を処理チャンバ10に導入された気体と結合させるように備えられている。第1出力源52は可変出力源であって良い。第1出力源52は高周波(RF)発生装置及びインピーダンス整合ネットワークを有して良く、さらに処理チャンバ10内でRF出力がプラズマと結合する際に介する電極を有して良い。電極は上部集合体内31に形成されて良い。その電極は、基板ホルダ20に対向するように備えられて良い。インピーダンス整合ネットワークは、その整合ネットワークの出力インピーダンスと、電極とプラズマを含む処理チャンバ10の入力インピーダンスとを一致させることによって、RF発生装置からプラズマへのRF出力の輸送を最適化するように備えられて良い。たとえばインピーダンス整合ネットワークは、反射出力を減少させることによって、処理チャンバ10内のプラズマへのRF出力の輸送を改善するように機能する。整合ネットワーク形態(たとえばL型、π型、T型等)及び自動制御法は当業者には周知である。
あるいはその代わりに、第1出力源52は、RF発生装置及びインピーダンス整合ネットワークを有して良く、さらにRF出力が処理チャンバ10内のプラズマと結合する際に介するアンテナ-たとえば誘導コイル-をさらに有して良い。アンテナはたとえば、誘導結合プラズマ源又はヘリコン源のようなヘリカル又はソレノイドコイルを有して良い。あるいはアンテナはたとえば、変成器と結合するプラズマ源内のフラットコイルを有して良い。
さらにあるいはその代わりに、第1出力源52は、マイクロ波周波数発生装置を有して良く、さらにマイクロ波アンテナ及びマイクロ波窓を有して良い。そのマイクロ波窓を介してマイクロ波出力は処理チャンバ10内のプラズマと結合する。マイクロ波出力の結合は、電子サイクロトロン共鳴(ECR)技術を用いることによって実現されて良い。あるいはマイクロ波出力の結合には、特許文献1に記載されているように表面波プラズマ技術-たとえばスロット型アンテナ(SPA)-が用いられても良い。
本発明の一の実施例によると、当該処理システム1は基板バイアス印加システムを有する。その基板バイアス印加システムは、処理チャンバ10へ気体を交互に導入する少なくとも一部の間に(基板ホルダ20のバイアス印加を介した)プラズマの生成(の支援)を行うように備えられている。その基板バイアス印加システムは基板出力源54を有して良い。基板出力源54は、処理チャンバ10と結合して、基板25に出力を結合するように備えられている。基板出力源54は、RF発生装置及びインピーダンス整合ネットワークを有して良く、さらにRF出力が基板25と結合する際に介する電極をさらに有して良い。その電極は基板ホルダ20内に形成されて良い。たとえば基板ホルダ20は、RF発生装置(図示されていない)からインピーダンス整合ネットワーク(図示されていない)を介した基板ホルダ20へのRF出力の伝送によってRF電圧が電気的に印加されて良い。RFバイアスの典型的な周波数は約0.1MHz〜約100MHzの範囲であって良く、13.56MHzであって良い。プラズマ処理用のRFバイアスシステムは当業者にとって周知である。あるいはその代わりにRF出力は複数の周波数で基板ホルダ電極に印加される。たとえプラズマ発生システム及び基板バイアスシステムが図1Bにおいて独立したものとして図示されているとはいえ、プラズマ発生システム及び基板バイアスシステムは特に基板ホルダ20と結合する1つ以上の出力源を有して良い。
それに加えて当該処理システム1は、気体(たとえば窒素前駆体)を供して、その気体を処理チャンバ10-処理チャンバ10内でリモートプラズマ励起された気体が基板25に曝露される-へ流す前にリモートプラズマ励起するリモートプラズマ源56を有する。リモートプラズマ源56はたとえばマイクロ波周波数発生装置を有して良い。
さらに図1Bを参照すると、制御装置70は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを有する。デジタルI/Oポートは、当該処理システム1からの出力を監視するのみならず、当該処理システム1の入力をやり取りし、かつ起動させるのに十分な制御電圧を発生させる能力を有する。しかも制御装置70は、処理チャンバ10、基板ホルダ20、上部集合体31、シリコン前駆体気体供給システム40、窒素前駆体気体供給システム42、パージガス供給システム44、基板温度制御システム60、圧力制御システム32、基板出力源54、リモートプラズマシステム56、及び第1出力源52と結合して情報をやり取りして良い。たとえばメモリ内に記憶されたプログラムは、堆積処理を実行するため、記憶されたプロセスレシピに従って当該処理システム1の上記構成要素を制御するのに利用される。制御装置の一例はデルコーポレーション(Dell Corporation)から販売されているDELL PRECISION WORKSTATION610(商標)である。
制御システム70はまた、汎用コンピュータ、デジタル信号プロセッサ等として実装されても良い。制御システム70は、メモリ内に格納されている1以上の命令に係る1以上のシーケンスを実行するプロセッサに応答して、マイクロプロセッサに基づいた本発明の処理工程の一部又は全部を実行する汎用コンピュータシステムとして実装されても良い。係る命令は、他のコンピュータによる読み取りが可能な媒体-たとえばハードディスク又は取り外し可能な媒体ドライブ-から制御装置のメモリへ読み込まれて良い。多重処理装置内の1つ以上のプロセッサもまた、主メモリ内に格納された命令のシーケンスを実行する制御装置のマイクロプロセッサとして用いられても良い。代替実施例では、配線回路が、ソフトウエアの代わりに又はそれと一緒に用いられて良い。
制御装置70は、汎用コンピュータ、プロセッサ、デジタル信号プロセッサ等で実装されても良い。その制御装置は、基板処理装置に、コンピュータによる読み取りが可能な媒体から制御装置に格納されている1以上の命令に係る1以上のシーケンスを実行する制御装置360及び460に応答して、本発明に係る処理工程の一部又は全部を実行させる。コンピュータによる読み取りが可能な媒体又はメモリは、本発明の教示に従ってプログラミングされた命令を保持し、かつ本明細書に記載されたデータ構造、テーブル、レコード又は他のデータを有する。コンピュータによる読み取りが可能な媒体の例には、コンパクトディスク(たとえばCD-ROM)若しくは他の光学式媒体、ハードディスク、フロッピーディスク、テープ、磁気光学ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM若しくは他の磁気媒体、パンチカード、紙テープ若しくは穴のパターンを有する他の物理媒体、又は搬送波(後述)若しくはコンピュータによる読み取りが可能な他の媒体がある。
制御装置70を制御し、装置を駆動し、及び/又は制御装置が人間であるユーザーと相互作用できるようにするソフトウエアは、コンピュータによる読み取りが可能な媒体(の結合)に保存されて良い。係るソフトウエアには、装置のドライバ、OS、開発ツール、及びアプリケーションが含まれて良いが、これらに限定されるわけではない。係るコンピュータによる読み取りが可能な媒体はさらに、上述の処理の一部(プロセスが分配される場合)又は全部を実行するコンピュータプログラム製品をも含む。
コンピュータコード装置は、如何なる解釈可能又は実行可能なコード機構であって良い。コンピュータコード装置には、解釈可能なプログラム、ダイナミックリンクライブラリ(DLLs)、Javaクラス、及び完全に実行可能なプログラムが含まれるが、これらに限定されるわけではない。しかも処理のほとんどは、性能、信頼性、及び/又はコストを向上するために分配されて良い。
本明細書で用いられている“コンピュータによる読み取りが可能な媒体”という語は、実行するための制御装置70のプロセッサへ命令を供することに関与する媒体を意味する。コンピュータによる読み取りが可能な媒体は如何なる形式を取っても良い。コンピュータによる読み取りが可能な媒体には、不揮発性媒体及び透過性媒体が含まれるが、これらに限定されるわけではない。不揮発性媒体にはたとえば、ハードディスクや取り外し可能な媒体ドライブのような、光学ディスク、磁気ディスク、及び磁気光学ディスクが含まれる。揮発性媒体には主メモリのようなダイナミックメモリが含まれる。しかも、実行用の制御装置のプロセッサへ1つ以上の命令を含む1つ以上のシーケンスを実行する際には、様々な形式のコンピュータによる読み取りが可能な媒体が含まれて良い。たとえば命令は最初離れた位置にあるコンピュータの磁気ディスク上で実行されて良い。その離れた位置にあるコンピュータは、離れた場所から命令を読み取ってダイナミックメモリへ送り、ネットワークを介して命令をデータ処理システム1020へ送る。
制御装置70は、当該処理システム1に対して局所的に設置されても良いし、又はインターネット又はイントラネットを介して当該処理システム1に対して離れた場所に設置されても良い。よって制御装置70は、直接接続、イントラネット、インターネット及びワイヤレス接続のうちの少なくとも1を用いることによって処理システム1とのデータのやり取りをして良い。制御装置70は、たとえば顧客側(つまりデバイスメーカー等)のイントラネットと結合して良いし、又はたとえば売り手側(つまり装置製造者等)のイントラネットと結合しても良い。さらに別なコンピュータ(つまり制御装置、サーバー等)が、たとえば制御装置とアクセスすることで、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介してデータのやり取りをして良い。
あるいはその代わりに複数の基板を同時に処理することが可能なバッチ処理システムが、本発明の実施例に記載されている歪みSiN膜の作製に用いられて良い。
図2Aは、本発明の実施例による処理チャンバ内において基板上に歪みSiN膜を作製するための処理フローダイアグラムである。図2Aの処理は、たとえば図1Aに図示されたようなCMOS構造を作製するために実行されて良く、かつたとえば図1Bの処理システムのような処理システム内において実行されて良い。図2Aから分かるように、処理は、工程202においてシリコン前駆体を有する気体に基板を曝露することから開始される。シリコン前駆体はたとえば、シラン、ジシラン、モノクロロシラン、ジクロロシラン、トリクロロシラン、ヘキサクロロシラン、ジエチルシラン、及びアルキルアミノシラン化合物を有して良い。アルキルアミノシラン化合物の例には、ジ-イソプロピルアミノシラン(H3Si(NPr2))、ビス(tert-ブチルアミノ)シラン((C4H9(H)N)2SiH2)、テトラキス(ジメチルアミノ)シラン(Si(NMe2)4)、テトラキス(エチルメチルアミノ)シラン(Si(NEtMe)4)、テトラキス(ジエチルアミノ)シラン(Si(NEt2)4)、トリス(ジメチルアミノ)シラン(HSi(NMe2)3)、トリス(エチルメチルアミノ)シラン(HSi(NEtMe)3)、トリス(ジエチルアミノ)シラン(HSi(NEt2)3)、及びトリス(ジメチルヒドラジノ)シラン(HSi(N(H)NMe2)3)が含まれるがこれらに限定されるわけではない。シリコン前駆体の気体パルスはたとえばArのような不活性ガスを含んで良い。
工程204では、基板は窒素前駆体を含む気体に曝露される。その窒素前駆体は、第1レベルのプラズマ出力のプラズマ源によって励起されて、第1反応特性を有するシリコン前駆体と反応するように備えられている。一の実施例では、第1レベルのプラズマ出力はプラズマ励起出力未満であるため、プラズマは励起されない。窒素前駆体のプラズマ励起は、基板上に形成されたSiN膜の特性-たとえばSiN膜の堆積速度及び密度-に影響を及ぼす。プラズマ励起された窒素前駆体は、チャンバの処理空間内部のシリコン前駆体及び/又は基板表面に吸着するシリコン前駆体と反応することができる。窒素前駆体はたとえば、N2、NH3、N2H4、又はC1-C10アルキルヒドラジン化合物から選ばれて良い。その気体はたとえばArのような不活性ガスをさらに含んで良い。
工程206では、基板は窒素前駆体を含む気体に曝露される。その窒素前駆体は、第2レベルのプラズマ出力のプラズマ源によって励起されて、第1反応特性とは異なる第2反応特性を有するシリコン前駆体と反応するように備えられている。
窒素前駆体(又は他の前駆体)がプラズマ励起する結果、金属前駆体へ向かう反応に影響を及ぼす窒素前駆体の電子励起及び/又はイオン化が生じうる。それに加えて、プラズマ励起は、窒素前駆体の少なくとも部分的な分解を生じさせうる。それにより金属前駆体へ向かう反応性が異なる改質された窒素前駆体が生成される。プラズマ出力のレベル及びプラズマ密度を増大させることによって一般的には、電子励起し、イオン化し、かつ少なくとも部分的に分解した窒素前駆体の量は増大する。それに加えて、増大したプラズマ出力のレベル及びプラズマ密度は、プラズマ環境中において、さらなる荷電種(たとえばアルゴンイオン及び/又は電子)の濃度を変化させるのに利用することができる。これらのさらなる荷電種は、堆積中に金属窒化物膜及び基板と相互作用することができる。それにより反応特性及び金属窒化物膜の厚さの少なくとも一部の特性(たとえば密度、歪み)が影響を受ける。
図2Aに図示された処理200の工程は、所望の厚さを有する歪みSiN膜が基板上に堆積されるまで、所定期間継続されるか又は所定回数繰り返されて良い。さらに処理200の工程202、工程204、及び工程206の順序は、本発明の実施例に従って広範に変化して良い。たとえばシリコン前駆体及びプラズマ励起された窒素前駆体は、時間的な重なりのない独立した気体パルスとして処理チャンバ内に供されて良い。ここで独立した気体パルスのプラズマ出力レベルは変化する。あるいはその代わりに、シリコン前駆体及び窒素前駆体が連続的に流れる一方で、プラズマ出力のレベルを単純に変化させても良い。これらの方法の組合せが用いられても良い。たとえばシリコン前駆体は連続的にチャンバへ供される一方で、窒素前駆体及びプラズマはそれぞれ異なるレベルのプラズマ出力でパルス供給されて良い。あるいはシリコン前駆体と窒素前駆体のいずれもが連続的に供されて、かつプラズマがそれぞれ異なるレベルのプラズマ出力でパルス供給されても良い。当業者には分かるように、様々な組合せが可能であり、かつ本発明の実施例は本明細書に記載された図2A-図2Eに記載された特定の例によって限定されない。
よって本願発明者らは、シリコン前駆体へ向かう反応性がそれぞれ異なる窒素前駆体に基板を曝露することで、SiN膜に歪みが引き起こされることを発見した。従って歪みSiN層は、従来方法である後処理によってではなく、SiN層が堆積されることで作製することが可能である。よって本発明の実施例は、製造時間及び歪みSiN膜を作製するのに必要な装置を減らすことができる。さらにSiN膜の作製中に供される歪みは、後処理によって供される歪みよりも良好に制御することが可能である。たとえば(表面領域だけではなく)SiN膜全体にわたる所定の歪み勾配は、歪みSiN膜を作製する特定のプロセスレシピによって供することができる。特に、処理条件-たとえばプラズマ励起の種類及びプラズマ出力のレベル及び曝露時間-は、SiN膜中に所定の歪みが供されるように設定されて良い。
またさらに本発明の実施例は、後述するように、PEALD、PECVD、又はパルスPECVD処理を用いた歪みSiN膜の作製を可能にする。この点では、SiN膜の作製中に歪みを供する結果生じる製造時間の短縮は、従来のCVD及び後処理プロセスよりも短い時間でALDプロセスによる歪みSiN膜の作製を可能にする。さらに本発明はまた、実際に現在行われているCVD法によりも良好な厚さ及びコンフォーマリティ制御をも供することができる。
図2Bは本発明の実施例による歪みSiN膜を作製するための処理フローダイアグラムである。処理220はPEALD処理であって、シリコン前駆体及びプラズマ励起された窒素前駆体の気体を順次曝露する工程を含む。その際異なる気体パルスは部分的に重なるか、又は全く時間的に重ならない。
処理220は、工程222において、シリコン前駆体と任意でArのような不活性ガスを含む気体パルスに基板を曝露する工程を有する。工程224では、基板は、第1レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露される。工程226では、基板はシリコン前駆体を含む第2気体パルスに曝露される。工程228では、基板は、第2レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露される。
図3A及び図3Bは、本発明の実施例による処理チャンバに結合する様々なレベルのプラズマ出力を表す出力グラフを図示している。図3Aの典型的な出力曲線310によって示されているように、プラズマ出力のレベルは、複数の離散的レベル320、330、及び340で処理チャンバに印加されて良い。一例では、出力レベル320はプラズマ生成の下限以下であって良く、出力レベル340はプラズマ出力の最大所望レベルに対応して良い。プラズマ出力の最大所望レベルは、上に堆積された膜を有する基板を台無しにするすなわち損傷させるか否かを決定するレベルよりも高くないことが好ましい。図3Bから分かるように、プラズマ出力のレベルは、出力曲線350によって表されているように、連続的に変化しながら処理チャンバに対して印加されて良い。
当業者には分かるように、図3A及び図3Bの出力曲線は典型的なものであり、様々なレベルのプラズマ出力は当該処理によって堆積される膜の組成及び特性に依存して良い。たとえば図3Aのプラズマ出力は4つ以上の離散的なレベルのプラズマ出力を有して良い。図3Bのプラズマ出力は非線形的に変化して良い。しかもプラズマ出力のレベルを変化させるのに段階的変化及び一定比率での変化が組み合わせて用いられても良い。さらに出力は、オン又はオフの離散的パルスで供されても良い。またさらに、本発明の実施例によって、堆積速度を向上させて不純物を減少させた膜の堆積を可能にする適切な高レベルプラズマ出力は、直接実験することによって、及び/又は実験計画法(DOE)によって決定されて良い。他の調節可能な処理パラメータ-たとえば基板温度、処理圧力、処理気体の種類、及び相対的気体流-もまた直接実験することによって、及び/又は実験計画法(DOE)によって決定されて良い。
戻って図2Bを参照すると、工程222-228は、処理フロー234によって示されているように、所望の厚さを有する歪みSiN膜が基板上に堆積されるまで、所定回数繰り返されて良い。工程222、工程224、工程226、及び工程228の各々の後、処理チャンバは、不活性ガスによってパージされ、かつ/又は排気されて良い。
一の実施例によると、工程222及び工程224は、処理フロー230に示されているように、工程226及び工程228の実行前に、順次第1回数だけ実行されて良い。
他の実施例によると、工程226及び工程228は、処理フロー232に示されているように、処理フロー234において工程222及び工程224を繰り返す前に、順次第2回数だけ実行されて良い。
さらに他の実施例によると、工程222及び工程224は、処理フロー230に示されているように、工程226及び工程228の実行前に、順次第1回数だけ実行されて良く、かつ、工程226及び工程228は、処理フロー232に示されているように、処理フロー234において工程222及び工程224を繰り返す前に、順次第2回数だけ実行されて良い。
このことに関連して、「第1回数」及び「第2回数」という語は、理解の便宜を図るために異なる語を与えるのに用いられていることに留意して欲しい。しかし第1回数と第2回数は同数であっても良いし、又は異なっていても良い。
一の実施例によると、処理フロー234が実行される度に、第1回数は単調減少して良く、第2回数は単調増加して良い。一例では、工程222において基板はシリコン前駆体を含む気体パルスに曝露され、工程224において基板は第1レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露され、かつ工程222と工程224は処理フロー230を用いることによって2度繰り返される。その後、工程226において基板はシリコン前駆体を含む気体パルスに曝露され、かつ工程228において基板は第2レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露される。次に、工程222において基板はシリコン前駆体を含む気体パルスに曝露され、工程224において基板は第1レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露され、かつ工程222と工程224は処理フロー230を用いることによって1度繰り返される。その後、工程226において基板はシリコン前駆体を含む気体パルスに曝露され、工程228において基板は第2レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露され、かつ工程226と工程228は処理フロー232を用いることによって1度繰り返される。次に、工程222において基板はシリコン前駆体を含む気体パルスに曝露され、かつ工程224において基板は第1レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露される。その後、工程226において基板はシリコン前駆体を含む気体パルスに曝露され、工程228において基板は第2レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露され、かつ工程226と工程228は処理フロー232を用いることによって2度繰り返される。この例では、歪みSiN膜の堆積中、第1回数は3→2→1と減少し、かつ第2回数は1→2→3と増加する。
さらに図2Bを参照すると、本発明の一の実施例により、工程224における気体パルスは窒素前駆体との比が第1比率である希釈気体をさらに有して良く、かつ工程228における気体パルスは窒素前駆体との比が第2比率である希釈気体をさらに有して良い。ここで第2比率は第1比率とは異なる。窒素前駆体に希釈気体を加えることは、処理チャンバ内でのプラズマ密度、ひいてはシリコン前駆体と相互作用できる励起された窒素前駆体の量に影響を及ぼすことができる。希釈気体は、He、Ar、Ne、Kr、Xe、若しくはH2、又はこれらの混合物から選ばれて良い。第1比率及び第2比率はたとえばD/N又はD/(D+N)と定義されて良い。ここでD及びNはそれぞれ希釈気体の量と窒素前駆体の量を表す。一の実施例によると、第1比率及び/又は第2比率は、実質的に純粋な窒素前駆体に相当する比から、希釈気体と窒素前駆体との混合物に相当する別な比へ、実質的に純粋な希釈気体に相当するさらに別な比へ変化して良い。一例では、比D/(D+N)は、たとえばSiN膜の堆積中、0,0.05,0.10,…,0.90,0.95,1.0というように単調増加して良い。
図2Cは本発明の他の実施例による歪みSiN膜を作製するための処理フローダイアグラムである。処理240は、工程242においてプラズマ出力のレベルを選択する工程を有する。プラズマ出力のレベルは、プラズマ生成の下限以下である第1レベルから、プラズマ出力の最大所望レベルに相当する第2レベルのプラズマ出力の範囲であって良い。よって第1レベルのプラズマ出力は0Wのプラズマ出力であって良い。工程244では基板はシリコン前駆体を含む気体パルスに曝露される。工程246では基板は第2レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに曝露される。工程248ではプラズマ出力のレベルが調節される。そして工程244は処理フロー230によって示されているように繰り返される。一の実施例によると、プラズマ出力のレベルは、SiN膜の堆積中単調増加又は減少して良い。処理240は、シリコン前駆体とプラズマ励起窒素前駆体との気体を中断しながら曝露する工程を有するパルスPECVDとして実行されて良い。このとき工程244及び工程246においては少なくとも部分的な気体パルスの時間的重なりがある。あるいはその代わりに、処理240は、工程244及び工程246において気体パルスの重なりが生じないPEALD処理として行われても良い。
さらに図2Cを参照すると、本発明の一の実施例により、工程246における気体パルスは窒素前駆体との比が第1比率である希釈気体をさらに有して良く、かつ工程248は窒素前駆体との比が第1比率から第1比率とは異なる第2比率まで希釈気体の量を調節する工程をさらに有して良い。
図2Dは本発明の他の実施例による歪みSiN膜を作製するための処理フローダイアグラムである。処理260は、工程262において、シリコン前駆体及び第1レベルのプラズマ出力のプラズマ源によって励起される窒素前駆体を含む気体パルスに基板を曝露する工程を有する。工程264において、基板は、シリコン前駆体及び第1レベルとは異なる第2レベルのプラズマ出力のプラズマ源によって励起される窒素前駆体を含む気体パルスに基板を曝露される。本発明の一の実施例によると、工程262における気体パルスは窒素前駆体との比が第1比率である希釈気体をさらに有して良く、かつ工程264における気体パルスは窒素前駆体との比が第2比率である希釈気体をさらに有して良い。ここで第2比率は第1比率とは異なる。
図2Eは本発明の他の実施例による歪みSiN膜を作製するための処理フローダイアグラムである。処理280は、工程282において、シリコン前駆体及び曝露中に変化するプラズマ出力レベルのプラズマ源によって励起される窒素前駆体を含む気体パルスに基板を曝露する工程を有する。一例では、プラズマ出力のレベルはSiN膜の堆積中、単調増加又は減少して良い。本発明の一の実施例によると、工程282における気体パルスは曝露中に窒素前駆体との比率が変化する希釈気体をさらに有して良い。一例では、その比はSiN膜の堆積中、単調増加又は減少して良い。
たとえ本発明が1つ以上の実施例によって説明されたとしても、そしてその実施例がかなり詳細に記載されているとしても、これらは如何なる意味においても、「特許請求の範囲」の技術的範囲を係る詳細に限定するものと解されてはならない。当業者は、他の利点及び修正型をすぐに思いつく。従って広い態様における本発明は具体的詳細に限定されない。従って多くの係る修正型は、本発明の技術思想及び/又は技術的範囲から逸脱することなく様々な修正型が可能である。たとえば本発明の実施例が同一の窒素前駆体及び同一のシリコン前駆体についてそれぞれ異なる反応特性を供するようにプラズマ出力を変化させることについて記載しているとしても、本発明は係る記載に限定されるものではない。特に、変化するプラズマ出力の特徴は、歪みSiN膜を堆積するため、窒素前駆体の種類及び/又はシリコン前駆体の種類を変化させて異なる反応特性を提供することと併用されて良い。従って係る逸脱は、一般的発明概念の技術的範囲内から逸脱することなく実現可能である。

Claims (27)

  1. 処理チャンバ内において基板上に歪みSiN膜を作製方法であって:
    シリコン前駆体を含む気体に前記基板を曝露する工程;該工程の後、
    第1レベルのプラズマ出力のプラズマ源によって励起されて前記シリコン前駆体と第1反応特性で反応する窒素前駆体を含む気体に前記基板を曝露することで、最初に前記シリコン前駆体と前記窒素前駆体とを反応させる工程;及び、
    前記第1レベルとは異なる第2レベルのプラズマ出力のプラズマ源によって励起されて前記シリコン前駆体と第2反応特性で反応する窒素前駆体を含む気体に前記基板を曝露することで、前記の基板上に作製されたSiN膜の特性が前記歪みSiN膜を供するように変化する、工程;
    を有する方法。
  2. a)前記シリコンコン前駆体を含む気体パルスに前記基板を曝露する工程;該工程の後、
    b)前記の第1レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに前記基板を曝露する工程;該工程の後、
    c)前記シリコンコン前駆体を含む気体パルスに前記基板を曝露する工程;該工程の後、
    d)前記の第2レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体パルスに前記基板を曝露する工程;及び
    e)前記a)-d)を所定回数繰り返す工程;
    を有する、請求項1に記載の方法。
  3. 前記工程c)及びd)の実行前に、前記工程a)及びb)を順次第1回数だけ実行する工程をさらに有する、請求項2に記載の方法。
  4. 前記工程e)において前記工程a)及びb)を繰り返す前に、前記工程c)及びd)を順次第2回数だけ実行する工程をさらに有する、請求項2に記載の方法。
  5. 前記工程e)において前記工程a)及びb)を繰り返す前に、前記工程a)及びb)を順次第1回数だけ実行する工程、並びに前記工程c)及びd)を順次第2回数だけ実行する工程をさらに有する、請求項2に記載の方法。
  6. 前記工程e)は、前記歪みSiN膜の堆積中、前記第1回数、若しくは前記第2回数、又は前記第1回数と第2回数の両方を変化させる工程をさらに有する、請求項5に記載の方法。
  7. 前記第1レベルのプラズマ出力、若しくは前記第2レベルのプラズマ出力、又は前記第1及び第2レベルのプラズマ出力の両方が、前記歪みSiN膜の堆積中に変化する、請求項2に記載の方法。
  8. 前記第1レベルのプラズマ出力又は前記第2レベルのプラズマ出力が、プラズマ生成の下限以下である低いレベルのプラズマ出力と、最大所望レベルのプラズマ出力に相当する高いレベルのプラズマ出力の間に属する、請求項7に記載の方法。
  9. 前記シリコン前駆体が、シラン、ジシラン、モノクロロシラン、ジクロロシラン、トリクロロシラン、若しくはヘキサクロロシラン、又は上記2種類以上の混合物を有する、請求項1に記載の方法。
  10. 前記アルキルアミノシラン化合物が、ジ-イソプロピルアミノシラン(H3Si(NPr2))、ビス(tert-ブチルアミノ)シラン((C4H9(H)N)2SiH2)、テトラキス(ジメチルアミノ)シラン(Si(NMe2)4)、テトラキス(エチルメチルアミノ)シラン(Si(NEtMe)4)、テトラキス(ジエチルアミノ)シラン(Si(NEt2)4)、トリス(ジメチルアミノ)シラン(HSi(NMe2)3)、トリス(エチルメチルアミノ)シラン(HSi(NEtMe)3)、トリス(ジエチルアミノ)シラン(HSi(NEt2)3)、若しくはトリス(ジメチルヒドラジノ)シラン(HSi(N(H)NMe2)3)、又は上記2種類以上の混合物を有する、請求項9に記載の方法。
  11. 前記窒素前駆体は、N2、NH3、N2H4、及びC1-C10アルキルヒドラジン化合物から選ばれる、請求項1に記載の方法。
  12. 前記工程a)-d)の各々の後に前記処理チャンバのパージ及び/又は排気を行う工程をさらに有する、請求項2に記載の方法。
  13. 前記工程a)及び工程b)が少なくとも部分的な時間的重なりを有する、請求項2に記載の方法。
  14. 前記工程c)及び工程d)が少なくとも部分的な時間的重なりを有する、請求項2に記載の方法。
  15. 前記工程a)及び工程b)が少なくとも部分的な時間的重なりを有し、かつ
    前記工程c)及び工程d)が少なくとも部分的な時間的重なりを有する、
    請求項2に記載の方法。
  16. 前記の第1レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体に基板を曝露する工程が、前記窒素前駆体との比が第1比率である希釈気体を供する工程をさらに有し、かつ
    前記の第2レベルのプラズマ出力のプラズマ源によって励起された窒素前駆体を含む気体に基板を曝露する工程が、前記窒素前駆体との比が前記第1比率とは異なる第2比率である希釈気体を供する工程をさらに有する、
    請求項1に記載の方法。
  17. 前記希釈気体は、He、Ar、Ne、Kr、Xe、若しくはH2、又は上記の2種類以上の混合物から選ばれる、請求項16に記載の方法。
  18. 処理チャンバ内において基板上に歪みSiN膜を堆積する方法であって、
    前記基板をシリコン前駆体を有する気体パルスに曝露する工程;該工程の後、
    プラズマ出力のレベルで励起された窒素前駆体を有する気体パルスに前記基板を曝露する工程;及び
    前記プラズマ曝露中に前記プラズマ出力のレベルを変化させることで、前記シリコン前駆体と窒素前駆体の反応性を変化させる工程;
    を有する方法。
  19. 前記プラズマ出力のレベルが、プラズマ生成の下限以下である第1レベルのプラズマ出力と、最大所望レベルのプラズマ出力に相当する第2レベルのプラズマ出力との間で変化する、請求項18に記載の方法。
  20. 前記プラズマ出力のレベルが前記SiN膜の堆積中に単調変化する、請求項18に記載の方法。
  21. 前記窒素前駆体は、N2、NH3、N2H4、及びC1-C10アルキルヒドラジン化合物から選ばれる、請求項18に記載の方法。
  22. 前記シリコン前駆体が、シラン、ジシラン、モノクロロシラン、ジクロロシラン、トリクロロシラン、若しくはヘキサクロロシラン、又は上記2種類以上の混合物を有する、請求項18に記載の方法。
  23. 前記アルキルアミノシラン化合物が、ジ-イソプロピルアミノシラン(H3Si(NPr2))、ビス(tert-ブチルアミノ)シラン((C4H9(H)N)2SiH2)、テトラキス(ジメチルアミノ)シラン(Si(NMe2)4)、テトラキス(エチルメチルアミノ)シラン(Si(NEtMe)4)、テトラキス(ジエチルアミノ)シラン(Si(NEt2)4)、トリス(ジメチルアミノ)シラン(HSi(NMe2)3)、トリス(エチルメチルアミノ)シラン(HSi(NEtMe)3)、トリス(ジエチルアミノ)シラン(HSi(NEt2)3)、若しくはトリス(ジメチルヒドラジノ)シラン(HSi(N(H)NMe2)3)、又は上記2種類以上の混合物を有する、請求項22に記載の方法。
  24. 前記気体パルスは、He、Ar、Ne、Kr、Xe、若しくはH2、又は上記の2種類以上の混合物から選ばれる希釈気体をさらに有する、請求項18に記載の方法。
  25. 前記希釈気体と前記窒素前駆体との比が前記曝露中に変化する、請求項24に記載の方法。
  26. 請求項1に記載の方法によって堆積される歪みSiN膜を有する半導体デバイス。
  27. 請求項18に記載の方法によって堆積される歪みSiN膜を有する半導体デバイス。
JP2010501038A 2007-03-30 2008-02-27 歪みシリコン窒化物膜及び該膜を含むデバイスの作製方法 Active JP5509472B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/730,288 2007-03-30
US11/730,288 US7651961B2 (en) 2007-03-30 2007-03-30 Method for forming strained silicon nitride films and a device containing such films
PCT/US2008/055056 WO2008121463A1 (en) 2007-03-30 2008-02-27 Method for forming strained silicon nitride films and a device containing such films

Publications (3)

Publication Number Publication Date
JP2010530127A JP2010530127A (ja) 2010-09-02
JP2010530127A5 JP2010530127A5 (ja) 2011-02-24
JP5509472B2 true JP5509472B2 (ja) 2014-06-04

Family

ID=39795208

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010501038A Active JP5509472B2 (ja) 2007-03-30 2008-02-27 歪みシリコン窒化物膜及び該膜を含むデバイスの作製方法

Country Status (4)

Country Link
US (1) US7651961B2 (ja)
JP (1) JP5509472B2 (ja)
KR (1) KR101350544B1 (ja)
WO (1) WO2008121463A1 (ja)

Families Citing this family (417)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8142862B2 (en) * 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5374638B2 (ja) * 2010-04-09 2013-12-25 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP2012038965A (ja) * 2010-08-09 2012-02-23 Lapis Semiconductor Co Ltd 半導体装置及びその製造方法
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP6022166B2 (ja) * 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2012128044A1 (ja) * 2011-03-23 2012-09-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201306082A (zh) * 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
KR101659463B1 (ko) 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE112012002786A5 (de) * 2011-07-01 2014-03-20 Reinhausen Plasma Gmbh Vorrichtung und Verfahren zur Plasmabehandlung von Oberflächen
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
KR101752075B1 (ko) * 2013-03-22 2017-07-11 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US20140346648A1 (en) * 2013-05-23 2014-11-27 Globalfoundries Inc. Low-k nitride film and method of making
CN105474361A (zh) * 2013-06-18 2016-04-06 圆益Ips股份有限公司 薄膜制造方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9905415B2 (en) 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) * 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
TWI654336B (zh) * 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
CN103762321B (zh) * 2013-12-31 2017-06-09 中山市贝利斯特包装制品有限公司 一种有机器件薄膜封装方法及装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102202089B1 (ko) * 2015-01-08 2021-01-12 주식회사 원익아이피에스 질화막의 제조방법
TWI576918B (zh) * 2014-02-28 2017-04-01 圓益Ips股份有限公司 製造氮化物薄膜的方法及控制該氮化物薄膜的壓應力的方法
JP6110420B2 (ja) * 2014-02-28 2017-04-05 ウォニク アイピーエス カンパニー リミテッド 窒化膜の製造方法及び窒化膜の圧縮応力の制御方法
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
KR102303983B1 (ko) 2014-09-22 2021-09-23 삼성전자주식회사 반도체 장치 및 그 제조 방법, 및 상기 반도체 장치를 포함하는 반도체 패키지
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102334110B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자 형성방법
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR20160061129A (ko) * 2014-11-21 2016-05-31 주식회사 원익아이피에스 적층막 제조방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6378070B2 (ja) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 成膜方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI701357B (zh) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 用於膜沉積的脈衝化電漿
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11735413B2 (en) * 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10522387B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and multi-wafer deposition apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
WO2020236303A1 (en) * 2019-05-23 2020-11-26 Applied Materials, Inc. In-situ atomic layer deposition process
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210017001A (ko) 2019-08-06 2021-02-17 삼성전자주식회사 자기 저항 메모리 소자의 제조 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202117052A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 Peald氮化物膜
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021092197A1 (en) * 2019-11-08 2021-05-14 Lam Research Corporation Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3685279D1 (de) * 1985-09-20 1992-06-17 Sumitomo Electric Industries Verfahren zur waermebehandlung eines verbindungshalbleitersubstrats.
JPH01195277A (ja) * 1988-01-28 1989-08-07 Fujitsu Ltd 薄膜の形成方法
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7052946B2 (en) 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
US20060029692A1 (en) * 2004-08-06 2006-02-09 Young Kang Supplementary food for health using kimchi as principal raw material and method producing the same
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US20070030568A1 (en) * 2005-07-26 2007-02-08 Tohoku University Future Vision Inc. High-reflectance visible-light reflector member, liquid-crystal display backlight unit employing the same, and manufacture of the high-reflectance visible-light reflector member
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
DE102006019881B4 (de) * 2006-04-28 2017-04-06 Advanced Micro Devices, Inc. Technik zur Herstellung einer Siliziumnitridschicht mit hoher intrinsischer kompressiver Verspannung

Also Published As

Publication number Publication date
US20080242116A1 (en) 2008-10-02
KR101350544B1 (ko) 2014-01-10
US7651961B2 (en) 2010-01-26
WO2008121463A1 (en) 2008-10-09
JP2010530127A (ja) 2010-09-02
KR20090126318A (ko) 2009-12-08

Similar Documents

Publication Publication Date Title
JP5509472B2 (ja) 歪みシリコン窒化物膜及び該膜を含むデバイスの作製方法
JP2010530127A5 (ja)
US7939455B2 (en) Method for forming strained silicon nitride films and a device containing such films
JP5219815B2 (ja) 引張応力を有するシリコン酸窒化膜を形成する方法
US7462571B2 (en) Film formation method and apparatus for semiconductor process for forming a silicon nitride film
JP5890386B2 (ja) ケイ素含有フィルムの低温堆積
US7531452B2 (en) Strained metal silicon nitride films and method of forming
KR101639464B1 (ko) 유효 산화물 두께가 감소된 하이-k게이트 스택의 형성 방법
US7473994B2 (en) Method of producing insulator thin film, insulator thin film, method of manufacturing semiconductor device, and semiconductor device
US20080274616A1 (en) Method for depositing titanium nitride films for semiconductor manufacturing
US20070066077A1 (en) Method for manufacturing semiconductor device
JP2004186693A (ja) 調節された仕事関数で電極を形成する方法
US7494937B2 (en) Strained metal silicon nitride films and method of forming
US8119540B2 (en) Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US7713868B2 (en) Strained metal nitride films and method of forming
US7589020B2 (en) Method for depositing titanium nitride films for semiconductor manufacturing
JP2003209110A (ja) 金属酸窒化膜の製造方法および絶縁ゲート型電界効果トランジスタおよびその製造方法
US8178446B2 (en) Strained metal nitride films and method of forming
US9330901B2 (en) Nitrogen-containing oxide film and method of forming the same
CN112204706B (zh) 脉冲等离子体沉积蚀刻阶梯覆盖率的改良
JP2006120992A (ja) シリコン窒化膜の製造方法及びその製造装置
US6759346B1 (en) Method of forming dielectric layers
JP2007103892A (ja) 半導体素子のトランジスタ形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110107

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110107

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131018

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140305

R150 Certificate of patent or registration of utility model

Ref document number: 5509472

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250