JP2004186693A - 調節された仕事関数で電極を形成する方法 - Google Patents
調節された仕事関数で電極を形成する方法 Download PDFInfo
- Publication number
- JP2004186693A JP2004186693A JP2003404434A JP2003404434A JP2004186693A JP 2004186693 A JP2004186693 A JP 2004186693A JP 2003404434 A JP2003404434 A JP 2003404434A JP 2003404434 A JP2003404434 A JP 2003404434A JP 2004186693 A JP2004186693 A JP 2004186693A
- Authority
- JP
- Japan
- Prior art keywords
- gate electrode
- gate
- work function
- deposition
- electrode
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 64
- 238000000151 deposition Methods 0.000 claims abstract description 77
- 230000008021 deposition Effects 0.000 claims abstract description 61
- 239000000463 material Substances 0.000 claims abstract description 56
- 239000002243 precursor Substances 0.000 claims abstract description 42
- 239000007772 electrode material Substances 0.000 claims abstract description 25
- 239000004065 semiconductor Substances 0.000 claims abstract description 21
- 229910052751 metal Inorganic materials 0.000 claims description 45
- 239000002184 metal Substances 0.000 claims description 44
- 239000000758 substrate Substances 0.000 claims description 39
- 238000000231 atomic layer deposition Methods 0.000 claims description 38
- 239000000203 mixture Substances 0.000 claims description 21
- 229910052760 oxygen Inorganic materials 0.000 claims description 17
- 150000001875 compounds Chemical class 0.000 claims description 16
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 15
- 239000001301 oxygen Substances 0.000 claims description 15
- 230000008569 process Effects 0.000 claims description 11
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 10
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 9
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 9
- 238000004519 manufacturing process Methods 0.000 claims description 6
- 239000000376 reactant Substances 0.000 claims description 4
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical group [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 4
- 230000015572 biosynthetic process Effects 0.000 claims description 3
- 229910052723 transition metal Inorganic materials 0.000 claims description 3
- -1 transition metal nitride Chemical class 0.000 claims description 3
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 claims description 2
- 239000002131 composite material Substances 0.000 claims description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims 1
- 230000004888 barrier function Effects 0.000 claims 1
- 238000009792 diffusion process Methods 0.000 claims 1
- 231100000812 repeated exposure Toxicity 0.000 claims 1
- 230000000694 effects Effects 0.000 abstract description 8
- 230000008859 change Effects 0.000 abstract description 5
- 238000003475 lamination Methods 0.000 abstract description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 29
- 239000010408 film Substances 0.000 description 25
- 238000006243 chemical reaction Methods 0.000 description 22
- 238000010926 purge Methods 0.000 description 21
- 239000000126 substance Substances 0.000 description 18
- 229910052757 nitrogen Inorganic materials 0.000 description 16
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 8
- 239000006227 byproduct Substances 0.000 description 8
- 239000013078 crystal Substances 0.000 description 8
- 239000007789 gas Substances 0.000 description 8
- 238000005137 deposition process Methods 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 238000006557 surface reaction Methods 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 229910052755 nonmetal Inorganic materials 0.000 description 6
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 6
- 229920005591 polysilicon Polymers 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 229910052715 tantalum Inorganic materials 0.000 description 6
- 239000004020 conductor Substances 0.000 description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 5
- 239000010409 thin film Substances 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 229910052801 chlorine Inorganic materials 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 239000012808 vapor phase Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 229910004200 TaSiN Inorganic materials 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 230000001143 conditioned effect Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical group CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910001362 Ta alloys Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- 229910003077 Ti−O Inorganic materials 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000002425 crystallisation Methods 0.000 description 1
- 230000008025 crystallization Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000003446 ligand Substances 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 150000002736 metal compounds Chemical class 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910017464 nitrogen compound Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- CMIQNFUKBYANIP-UHFFFAOYSA-N ruthenium tantalum Chemical compound [Ru].[Ta] CMIQNFUKBYANIP-UHFFFAOYSA-N 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000007736 thin film deposition technique Methods 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28194—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4966—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/517—Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Composite Materials (AREA)
- Ceramic Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
【課題】 ゲート電極の仕事関数を正確に調節された半導体デバイス用のゲート積層を形成する方法を提供すること。
【解決手段】 仕事関数は、ゲート電極堆積の枠組みの中で、ゲート電極の仕事関数を決定する領域のゲート電極材料の全体的電気陰性度を変えることによって調節される。本発明によると、ゲート積層は原子層堆積法のタイプの処理によって堆積され、ゲート電極の全体的電気陰性度は、ゲート電極の選択した堆積サイクルに少なくとも1回の追加前駆体のパルスを導入することによって調整される。ゲート電極の仕事関数の調整は、ゲート電極内にいくらかの追加材料を導入することだけでなく、段階的モードの堆積およびゲート電極の下側ゲート部分の厚さ変化の効果を追加材料のパルスの組み入れが提供する効果と組み合わせて利用することで為され得る。
【選択図】図2
【解決手段】 仕事関数は、ゲート電極堆積の枠組みの中で、ゲート電極の仕事関数を決定する領域のゲート電極材料の全体的電気陰性度を変えることによって調節される。本発明によると、ゲート積層は原子層堆積法のタイプの処理によって堆積され、ゲート電極の全体的電気陰性度は、ゲート電極の選択した堆積サイクルに少なくとも1回の追加前駆体のパルスを導入することによって調整される。ゲート電極の仕事関数の調整は、ゲート電極内にいくらかの追加材料を導入することだけでなく、段階的モードの堆積およびゲート電極の下側ゲート部分の厚さ変化の効果を追加材料のパルスの組み入れが提供する効果と組み合わせて利用することで為され得る。
【選択図】図2
Description
本発明は、概して、半導体製造の分野に関し、特に、金属ゲート構造形成の分野に関する。さらに特定すると、本発明は金属酸化物半導体電界効果型トランジスタ(MOSFET)のための金属ゲートと金属電極の堆積に関する。
半導体デバイスは絶え間なく改良されてデバイス性能を高めている。たとえば、さらに小型のデバイス・サイズとさらに高速の動作が強く望まれる性能目標である。トランジスタもやはり絶え間なくサイズを小さくすることで電力消費を下げられ、かつクロック周波数を上げられてきた。相補形金属酸化物シリコン(CMOS)トランジスタで一層小さいゲート構造を構成することによって、同じ表面積にさらに多くのトランジスタを詰め込むことが可能となる。ゲート構造のサイズの削減はゲート誘電体の電気的厚さを3nmまで大幅に削減することに結びついてきており、今日の技術でさらに削減されている。
通常のMOS半導体デバイスの主な素子が図1に示される。このデバイスは概して半導体基板101を含み、その上にゲート積層が配置される。ゲート積層はゲート誘電体層110およびゲート誘電体層110上に配置されたゲート電極114を有する。ゲート電極114は導体としてはたらく。通常、入力信号はゲート端子(図示せず)を経由してゲート電極114に加えられる。微量ドープされたドレイン(LDD)領域103はドレインのエッジ付近で電界を低下させ、その結果、ホットキャリヤ発生の事態を低減させる。普通は絶縁性酸化物で構成されるスペーサ111が側壁に形成される。その後、多量ドープされたソース/ドレイン領域102が半導体基板101内に形成され、後工程でソース/ドレイン端子(図示せず)に接続される。
半導体基板内でゲート誘電体110の下にチャンネル領域116領域が形成され、それがソース/ドレイン領域102を分離する。通常、チャンネル領域はソース/ドレイン領域102のそれと逆のタイプのドーパントで微量ドープされる。ゲート電極114はゲート誘電体層110によって半導体基板101から分離される。絶縁用ゲート誘電体層110はゲート電極114とソース/ドレイン領域102もしくはチャンネル領域116の間に電流が流れるのを阻止するために設けられる。トランジスタの特性はゲート誘電体層110の厚さと質に決定的に依存する。
CMOSデバイスでは、図3に示したように逆のタイプのNMOS300とPMOS350のトランジスタが存在する。トランジスタのゲート電極314、364に電圧を印加することによって、チャンネル領域316、366はゲート誘電体310、360の下の微量ドープ基板領域で電気伝導性になる。ゲート電極に印加されるスレショルド電圧でトランジスタは非伝導状態から伝導状態に切り換わる。トランジスタのスレショルド電圧を低く保ち、それによってトランジスタの電力消費を低く保つためにゲート電極材料の仕事関数は、ゲート電極の下にある基板材料の仕事関数とほぼ等しくなければならない。
PタイプとNタイプの基板材料は有意に異なる仕事関数を有する。従来、仕事関数の整合は、ゲート電極材料としてポリシリコンを使用し、かつゲート電極のすぐ下にある基板材料と同じタイプのドーパントでポリシリコンをドープすることによって達成されていた。しかしながら、現在の技術では、その低過ぎる伝導度と空乏効果が理由でポリシリコンは適切ではない。空乏化は、半導体ポリシリコン内のゲート電極/ゲート誘電体界面で生じ、ゲート誘電体の等価酸化物厚さ(EOT)を増大させる。他方で、ポリシリコンのドーパントの溶解性は約5×1020原子/cm3までに制限される。この溶解性はポリシリコン内で形成される荷電粒子の量を制限する。したがって、今日では耐熱金属、たとえばタングステンのようなさらに伝導性の材料が使用される。実際では、金属材料は無限量のキャリヤ(5×1022原子/cm3)を有し、したがって空乏領域の厚さは見かけ上ゼロである。これはゲート誘電体のEOTの4〜5Åの減少につながる。
金属ゲートの最も重要な特性は仕事関数であり、それは基板のドーピング・レベルと一体になって金属酸化物半導体デバイスのスレショルド電圧を決定する。金属電極材料の仕事関数はNMOS電界効果トランジスタで約4.0から4.2eV、PMOS電界効果トランジスタで約5.0から5.2eVでなければならない。
その結果、導体の仕事関数を調節する必要がある。金属電極材料の仕事関数に影響を与えるために知られている対策は、金属電極の堆積方法、堆積の後に実行される熱処理(すなわちRTA、Rapid Thermal Annealing)、金属電極層の厚さ、使用されるゲート酸化物材料および電極材料の結晶配向である。電極材料の仕事関数に及ぼす熱処理の影響はおそらく材料の結晶化、または、たとえば化学量論的に過剰の窒素の放出または熱処理の間に材料から由来する何か別の要素に起因する。金属が酸化もしくは窒化されるとき、その電気陰性度が上昇することが知られている。仕事関数は電気陰性度に伴なって上がるので、電気陰性度の上昇は仕事関数もやはり増大させる。
金属ゲート膜の堆積の後にドープすることによって金属ゲート材料の仕事関数を変えるための試みがなされてきた。しかしながら、ドーピングの後の金属ゲート材料の仕事関数は容易に予測することおよび制御することができない。堆積後のゲート材料のドーピングは膜の化学量論ばかりでなく、膜の結晶配向も変える可能性がある(Q.Luら、Symp.VLSI Tech.(2001年)45〜46頁、および米国特許出願番号2002/0008257A1)。
米国特許第6,458,695号B1は、材料の組成を制御することによって電極の仕事関数を調節する方法を開示している。この公告は、金属ゲートの堆積に引き続いて、金属とその導電性酸化物の合金が形成されてその合金が所望の仕事関数を有するようにトランジスタの一方もしくは両方のタイプについて金属ゲート電極を酸化するかまたは酸素インプラントすることを記載している。
米国特許第6,506,676号は、(TixAly)1−zNzの組成を変えることによってチタン、アルミニウムおよび窒素を含む電極の仕事関数を変える方法を開示している。この方法によると、原子層堆積法が膜の組成を変えるために適用可能である。繰り返しの時間中で、前駆体を使用して(TixAly)1−zNz膜が堆積されるとき、窒素(N)の含有量を調節するためにNH3、N2およびND3のうちの1つが材料を浄化するのに使用される可能性がある。このとき、窒素(N)の組成は繰り返しの各々の数によって制御される。
米国特許第6,518,106号でNgaiらはゲート電極材料の一要素の濃度を変えることによって組成を変え、それによりALDでトランジスタのゲート電極の仕事関数を変える方法を開示している。米国特許第6,518,106号B2の方法によると、金属、シリコンおよび窒素を含む層(たとえばTaSiN)のシリコンまたは窒素の濃度を変えると金属ゲート層の仕事関数が変わる。金属ゲート層を堆積するための方法の範例として原子層堆積法(ALD)、化学的気相堆積法(CVD)および物理的気相堆積法(PVD)が開示されてきた。しかしながら、基板にわたる局所的濃度ばらつきを回避する方法は開示されていない。
Ru−Ta合金もやはりゲート電極として使用するために研究されてきた。ルテニウム−タンタル金属電極の仕事関数は様々なRu−Ta組成を使用することによって4.2と5.1eVの間で調節することが可能である。より多くのルテニウムを含む金属電極の仕事関数は5eVに近く、Ru0.60Ta0.40の組成を備えた電極は4.3eVの仕事関数を有した(H.Zhongら、Appl.Phys.Lett.第78巻(2001年)、1134〜1136頁)。しかしながら、PVD法で為された電極はゲート積層の誘電体層のスパッタリング・ダメージに結びつき、さらに基板にわたって超薄膜の電気的かつ物理的特性の不均一性を引き起こした。
電極の仕事関数の調整は、米国特許第6,373,111号で述べられているような各々が異なる仕事関数を有する2層電極構造によってもやはり達成することが可能である。底の電極層が薄く、好ましくは3nm未満であると、電極構造の仕事関数は主に上層の仕事関数によって決まり、それと等しいであろう。底の層が厚く、好ましくは10nm以上であると、電極構造の仕事関数は主に底層の仕事関数によって決まり、それと等しいであろう。3と10nmの間である過渡領域では、底の膜の厚さを調節することによって電極構造の仕事関数は上層の仕事関数と底層の仕事関数の間で調節されることが可能である。
結晶の配向はゲート電極の仕事関数に有意の影響を与える。たとえば、110の結晶配向(W(110))を備えたタングステンは最大の仕事関数値5.25eVを有し、W(113)は最小仕事関数値4.18eVを有する(H.B.Michaelson、J.Appl.Phys.、第48巻(1977年)、4729〜4733頁)。これは、受容可能な限度がNMOSについては4.0〜4.2eVでPMOSについては5.0〜5.2eVであるので同じ材料からCMOSデバイスの両方のゲート電極を作製することが可能であることを示す。1〜2原子%の窒素でゲート電極材料をインプラントし、その後ある程度アニールすることによってゲート電極の仕事関数値は窒素濃度の上昇だけでは変化を説明できないほどに変化することが観察されている(R.Linら、IEEE Electron Dev.Lett.第23巻(2002年)、49〜51頁)。
ゲート積層の仕事関数を調整する知られている方法に付随する問題は、いくつかの変数が同時に含まれ、それが調整の制御を極めて困難にすることである。ゲート電極の組成は仕事関数に影響を与える主な要因のうちの1つである。しかしながら、同じ厚さと同じ化学的組成を有するがPVDとALDといった異なる堆積技術で堆積されたゲート電極層は異なる仕事関数を有する。上述の方法の別の問題は、膜の組成、均一性およびプロファイル、あるいは厚さの適切な制御が達成不可能なことである。さらに、ゲート電極材料を酸化雰囲気に晒すことはゲート誘電体材料をもやはり酸化雰囲気に晒し、それが誘電体材料に有害な影響を与え、かつ下にある基板材料を酸化する可能性がある。
したがって、ゲート積層のゲート電極の仕事関数を調節する方法と上述の欠点を回避する方法が必要である。
したがって、ゲート積層のゲート電極の仕事関数を調節する方法と上述の欠点を回避する方法が必要である。
集積回路を堆積する改善された方法を提供することが本発明の目的であり、その方法の中でゲート電極の仕事関数は容易かつ正確に所望の値に調節されることが可能である。
ゲート誘電体層とゲート電極層を備え、薄膜トランジスタのための適切なスレショルド電圧を供給するデバイスを前記複数層が一体となって形成する半導体デバイスの改善された形成方法を提供することが本発明の別の目的である。
ゲート誘電体層とゲート電極層を備え、薄膜トランジスタのための適切なスレショルド電圧を供給するデバイスを前記複数層が一体となって形成する半導体デバイスの改善された形成方法を提供することが本発明の別の目的である。
知られているゲート積層の構造および方法にわたるこれらおよびその他の目的はその利点と共に、以下の明細から明らかになるであろうし、以降に説明および権利請求される本発明によって達成される。
本発明は以下の工程の組合せによる半導体デバイス製造の概念に基づき、すなわち、それは、半導体基板の上にゲート誘電体層を形成する工程、ゲート誘電体の上にゲート電極を形成し、前記ゲート電極の仕事関数を所望の値に調整する工程であり、そこでは原子層堆積法(ALD)、ラジカル補助型原子層堆積法(RA−ALD、遠隔プラズマ放電)およびプラズマ励起型原子層堆積法(PEALD、in−situプラズマ放電)のグループから選択される方法によってゲート電極の少なくとも底の部分が形成される。
本発明の好ましい実施形態によると、ゲート電極の仕事関数はゲート電極堆積の枠組みの中で、ゲート電極の仕事関数を決定する領域のゲート電極材料の全体的な電気陰性度を変えることによって調節される。本発明のゲート電極材料は適用可能な範囲の仕事関数を有するいかなる材料から選択されることも可能である。
本発明の別の好ましい実施形態によると、ゲート電極の仕事関数は、ゲート電極の仕事関数を決定する領域のゲート電極材料の特定の結晶配向を制御することによって調節される。
本発明は優れた性能を備えたゲート積層を堆積させる改善された方法を提供する。極めて薄い高kのゲート誘電体層を堆積させることが可能であり、ゲート電極は本方法によって正確に制御されて集積回路用の高性能デバイスを作製する制御可能な方法を提供する。
開示される方法は、添付の図面を参照しながら好ましい実施形態の手段で説明されるであろう。
図2は、本発明の実施形態による半導体デバイスを製造する方法を例示するためにデバイスの断面を示している。
図2は、本発明の実施形態による半導体デバイスを製造する方法を例示するためにデバイスの断面を示している。
本発明の方法は、半導体基板201の上にゲート誘電体層210を堆積させる工程、およびゲート誘電体層の上にゲート電極層214、218を堆積させる工程で構成される。半導体基板201は、基板の表面もしくは基板とゲート誘電体層210の界面を改質する1層または複数の層で構成されることが好ましい。ゲート誘電体210はどのような知られている薄膜堆積技術によって堆積されることも可能であり、高k材料で形成される。1つの好ましい高k材料は酸化ハフニウム(HfO2)である。本発明では、ゲート電極層は下側部分218と上側部分214の2つの部分から構成されることが好ましい。下側部分218は電極層の仕事関数に、およびそれゆえにデバイスのスレショルド電圧に本質的な影響力を有する。仕事関数、およびそれゆえにデバイスのスレショルド電圧はゲート積層内の仕事関数調節領域222によって決定され、それはゲート誘電体層の上の約0〜200Åの領域、好ましくは約0〜100Åの領域(これは米国特許第6,373,111号から理解される)に配置される。仕事関数は、ゲート電極の仕事関数を決定する領域222の材料の全体的な電気陰性度を変えることによって調節されることが可能である。ゲート電極の上側部分214の下側部分は0〜200Å厚さの領域222の一部であることも可能である。
ゲート電極層の下側部分218の厚さは0から約200Åである。ゲート誘電体層および少なくともゲート電極層の下側部分218は原子層堆積法(ALD)、ラジカル補助型原子層堆積法(RA−ALD)、またはプラズマ励起原子層堆積法(PEALD)によって堆積されることが好ましい。ゲート電極の上側部分214は知られているどのような堆積技術によって堆積されることも可能である。
ゲート誘電体層およびゲート電極層の材料は、一体となって薄膜トランジスタに適した所望の範囲内のスレショルド電圧を有するデバイスを供給する適切な対の層を形成するように選択される。誘電体−電極の対は酸化ハフニウムの層とチタン−アルミニウムの窒化物の層、あるいは酸化ハフニウムの層と酸素ドープした遷移金属窒化物の層で作製されることが可能である。好ましい実施形態の方法によると、ゲート誘電体層とゲート電極層は堆積工程間で空気に晒すことなくALD、RA−ALDまたはPEALDによって堆積されることが好ましい。誘電体層の表面は−OHおよび−NHx基のような都合のよい活性表面部位を伴なって供給されることが好ましい。好ましい実施形態では、デバイスの下側部分の化学的および物理学的特性に対する空気暴露の負の影響は、誘電体層および電極の下側部分の堆積工程で1回の単一ポンプ引きを使用することによって回避されることが可能である。
図3は、本発明の好ましい実施形態によって作製されたゲート積層を含むNMOSトランジスタ300とPMOSトランジスタ350を備えたCMOSの概略の側面図を示している。p−ウェル301とn−ウェル351はp−またはn−基板380へのドーピングによって形成されている。通常、基板380として単結晶シリコン基板が使用される。n−チャンネル・ストッパ320とp−チャンネル・ストッパ370を備えたフィールド酸化膜382がトランジスタを互いから分離する。微量ドープされたドレイン(LDD)303、353はチャンネル領域316、366の側部へと延びる。ソース・ドレイン領域302、304(NMOS)、352、354(PMOS)は材料の電気伝導度を向上させるために多量ドープされる。ゲート積層はゲート誘電体310、360、下側ゲート電極318、368、および上側ゲート電極314、364で構成される。電気的隔離を目的としてゲート積層のそばに側壁酸化物311、361が設けられる。
ある好ましい実施形態では、ゲート電極は2つの部分で堆積されることで第1の仕事関数を有する第1の材料を含む電極下側部分と第2の仕事関数を有する第2の材料を含む電極上側部分を供給し、第1および第2の仕事関数のうちの一方が所望の仕事関数よりも大きく、かつ第2もしくは第1の仕事関数の他方が所望の仕事関数よりも小さい。そのとき、電極の下側部分の厚さは、それらで形成された複合ゲート電極がゲート誘電体との界面で所望の仕事関数を有するように調節される。
ゲート電極の少なくとも下側部分を形成するための工程は少なくとも2つの反応物質に基板を交互もしくは繰り返して晒す処理を含み、それにより、元素状態で存在する金属の膜もしくは少なくとも2つの組成物の化合物膜が形成されてその中でゲート電極の下側部分の仕事関数は交互もしくは繰り返しの反応物質暴露の配列を調節することによって調整される。この配列の調節は、たとえば、第3の反応物質が配列に追加されること、あるいなは堆積工程の間の2つの金属供給源化学物質もしくは2つの非金属供給源化学物質のパルス導入の比率が変えられることを意味する。
ある実施形態では、ゲート電極は、非金属の前駆体もしくは非金属前駆体のプラズマとの表面反応で化合物を形成することの可能な少なくとも1種類の金属の前駆体を使用して堆積される。図4〜6はALD反応器、PEALD、およびRA−ALD反応器を概略で表わすものであり、それぞれ本発明の方法を実行することに適している。
好ましい実施形態によると、ゲート積層またはゲート積層の少なくとも下側部分はALD反応器内で堆積される。ALDの概略の表現が図4に示されている。ALDでは、プラズマ励起ALD(PEALD)およびラジカル補助型ALD(RA−ALD)と同様に金属化合物層の堆積工程は1回もしくは複数回の堆積サイクルを含む。堆積サイクルの合計数は層の所望の厚さおよび層を形成する材料の成長速度に応じて決まる。ALDでは、1回の堆積サイクルは、通常、4つの基本的な処理工程を含む。第1に、金属前駆体の蒸気相パルスが基板424を設置した反応空間420内にパルス導入される。第2に、余剰の金属前駆体および蒸気相の反応副産物が反応空間420の気相から反応器400の排気ライン404へとパージされる。第3に、蒸気相の非金属前駆体が反応空間420にパルス導入されることで基板424の表面上に化学吸着した金属前駆体との表面反応で化合物を形成する。最後に、余剰の気体状の非金属前駆体および表面反応で形成された気体状の副産物が反応器400の排気ライン404へとパージされる。1回の堆積サイクルは少なくとも上述の2回のパルス導入と2回のパージ工程から構成される。通常、不活性ガスもしくは不活性ガス混合物がキャリヤ・ガスおよびパージ用ガスとして使用される。
別の好ましい実施形態によると、in−situプラズマ放電の原理を実行するPEALD反応器(図5参照)が使用される。PEALDでは、1回の堆積サイクルは、通常、4つの基本的な処理工程を含む。第1に金属前駆体が第1の供給源508から第1の供給源の導管510を通り、シャワーヘッド526を通って基板524の設置された反応器500の反応空間520内にパルス導入される。第2に、第2に、余剰の金属前駆体および気体状の反応副産物が反応空間520の気相から反応器500の排気ライン504へとパージされる。第3に、非金属供給源の化学物質が第2の供給源512から第2の供給源の導管514を通り、シャワーヘッド526を通って反応空間520内にパルス導入され、同時にプラズマ電力がオンに切り換えられて好ましくはシャワーヘッド526空間の内側で非金属供給源化学物質の活性型を形成する。活性型にある非金属供給源化学物質はパージ用ガスと同じガス(たとえば窒素)を含むこともあり得る。この非金属供給源化学物質の活性型は基板524の表面上に化学吸着される金属前駆体との表面反応の間で固体化合物を形成することが可能である。最後に、余剰の供給源化学物質および表面反応で形成された気体状の副産物が反応器500の排気ライン504へとパージされる。最後のパージ工程は、プラズマ電力のオフ切り換えが非金属活性種の発生を終わらせるので極めて短く、たとえば0.1秒未満であることも可能である。しかしながら、本発明の実施形態では、本発明の望ましい効果を達成するために表面反応のいかなる副産物もパージ除去される。
本発明のもう1つの実施形態では、図6に示したRA−ALD反応器が使用される。RA−ALDは遠隔プラズマ放電の原理を実行する。1回の堆積サイクルは少なくとも上述の2回のパルス導入および2回のパージ工程を含む。第1のパルス導入工程の材料パルスは金属供給源化学物質の分子を含み、それが第1の供給源608で気化され、好ましくは不活性キャリヤ・ガスと共に第1の供給源の導管610を通り、シャワーヘッド626を通って導かれる。余剰の金属供給源化学物質の蒸気および反応副産物は反応器600の反応空間620からパージ除去される。
第2のパルス導入工程の蒸気パルスは活性化された非金属種を含む。遠隔プラズマ放電が適用されると、金属供給源化学物質の活性型が遠隔プラズマ源612の領域で作り出され、活性種が遠隔プラズマ源の導管614を通り、シャワーヘッド626を通って反応空間620へと導入される。活性種は基板表面上に化学吸着した金属供給源化学物質種と反応して堆積サイクル当たり最大で単原子または短分子の層の固体膜を形成する。パルス導入の配列は、余剰の活性種および反応副産物を反応空間620から排気導管604および真空ポンプ602へと除去する第2のパージで終わる。ガスは真空ポンプ602から真空ポンプ排気管606へと放出され、排気ガス流から有害な成分を除去するために適切に処理される。パルス導入配列は所望の厚さの薄膜が基板624の上に堆積されるまで繰り返される。前駆体パルスの順序は、金属供給源化学物質の蒸気パルスに先行して活性種がパルス導入されるように変えられることもやはり可能である。好ましい実施形態では、前駆体パルスは常に互いから離れており、パージ工程は余剰の前駆体種および気体状の反応副産物から反応空間を排気する。
好ましい実施形態によって堆積されたゲート電極の全体的な仕事関数はゲート電極材料の組成を変えることによって所望の値に調整される。その組成は、たとえば、ALDタイプの処理で下側電極層の堆積のための差動化されたパルス導入サイクルの適切な枠組みを選択することによって変えられる。電気陰性度は材料の組成に応じて決まり、かつ仕事関数に影響を与える。ゲート電極材料の組成と仕事関数の間の関係を利用するために、論理的ツールが適用されて適切な化合物を見出す。材料の組成を微調整し、目標の仕事関数に到達するために実験的な調査がさらに補助する。化合物の電気陰性度を評価するための1つの方法は電気陰性度計量器を使用する。
ポーリングの計量器はおそらく最も有名な電気陰性度計量器である。本発明の脈絡では、化合物薄膜の全体的な電気陰性度は、電気陰性度の等化原理を介して理解されることが可能である。全体的電気陰性度は化合物の電気陰性度を意味し、電気陰性度の等化原理で計算される。全体的電気陰性度を評価するためのこの方法はサンダーソンの電気陰性度の等化原理に基づいており、式1で表わされる。
好ましい実施形態によると、電極層の全体的電気陰性度は、実際の電極材料よりも高いかまたは低い電気陰性度を有する追加の材料を電極材料内に導入することによって調整される。これは追加の前駆体の少なくとも1パルスを選択された堆積サイクルの工程間に挿入することによって為される。たとえば、もしも堆積工程が100堆積サイクルで構成される場合、堆積サイクルのうちの少なくとも1つが前記追加前駆体パルスを含み(すなわち追加サイクル)、残りの堆積サイクルは追加前駆体パルスを含まない状態(すなわち標準サイクル)を保つ。追加材料の導入は必然的に電極層の化学的組成を変えるばかりでなく、層の結晶配向、厚さもしくは成長速度および層の材料の密度にさえも影響を与える可能性がある。これらの特性がすべて材料層の仕事関数に影響することが知られている。
ある好ましい実施形態では、追加材料の導入は段階的モードで実現され、それにより、標準サイクルに対する追加サイクルの比率は堆積工程を通じて変化する。選択された堆積サイクルの間の追加前駆体パルスの導入は、たとえば、基礎の電極の1回または複数回の標準堆積サイクルの完了後に始まることが可能である。その後、標準サイクル間に追加サイクルを導入する頻度は徐々に増やされる。最後に、堆積配列の終わりに、いくつかの連続サイクルが追加前駆体のパルスを含むことが可能である。
ある好ましい実施形態では、追加の材料は酸素を含む。電極層の基礎材料は、たとえば、TiNまたはTaNである。この実施形態の長所は、酸素が金属と化合物を形成するだけであって、後者のケースで、チタンまたはタンタルと形成することである。酸素と窒素の結合は弱く、それゆえに安定なNOx化合物は膜内で形成されない。堆積工程で酸素供給源化学物質のパルスとして酸素が材料内に導入されるとき、金属酸化物(特にTiO2またはTa2O5)に対する金属窒化物(特にTiNまたはTaN)の比率は正確に制御され、かつ想定通りに影響され、堆積は反復可能である。
膜内の金属のみと化合物を形成する成分、好ましくは酸素を使用することは、金属−シリコン−窒素の化合物の成長を教示している米国特許第6,518,106号(Ngaiら)を超える利点である。たとえば、Ngaiによって開示されたTaSiN膜は、膜内のいかなる他の種とも化合物を形成する種(Ta、Si、N)を含む。タンタル(Ta)はケイ化タンタルおよび窒化タンタルを形成するように反応する。シリコン(Si)はケイ化タンタルおよび窒化ケイ素を形成するように反応する。窒素(N)は窒化タンタルおよび窒化ケイ素を形成するように反応する。Ngaiらによると、シリコンの濃度を上げると材料の仕事関数は減少する。しかしながら、シリコンの濃度を上げることは基板上のケイ化タンタルおよび/または窒化ケイ素の形成に結びつく。さらに、Ngaiらは窒素濃度を上げることもやはり仕事関数に影響を与えると述べている。しかしながら、窒素濃度の上昇は基板上の窒化タンタルおよび/または窒化ケイ素の形成に結びつく。基板上に2つの化合物を形成する競合反応は基板全体にわたって均一な仕事関数を供給することをさらに困難にする。
本発明によると、ゲート電極の全体的電気陰性度は、ゲート電極の選択された堆積サイクルに少なくとも1パルスの追加前駆体を導入することによって調整される。さらに、ゲート電極の仕事関数の調整は、ゲート電極にいくらかの追加の材料を導入することだけでなく、段階的モードの堆積およびゲート電極の下側ゲート部分の厚さの変化の効果を追加材料パルスの組み入れが提供する効果と組み合わせて利用することで為され得る。
追加の前駆体は多くの方式で標準堆積サイクル内に挿入されることが可能である。もしも選択された追加前駆体が非金属の前駆体であるならば、それは金属前駆体のパルスに引き続くパルス・パージ処理の後に反応チャンバ内に導入されることが好ましい。追加の前駆体がTaCl5のような金属前駆体であるケースでは、追加の金属前駆体は非金属前駆体のパルスに引き続くパルス・パージ処理の後に反応チャンバ内に導入される。パルスのパージ処理が追加の材料パルスの後に供給されることが好ましい。
本発明の脈絡では、非金属の前駆体は表面反応の結果として基板上に非金属元素を残す化学物質である。したがって、非金属前駆体として適切な化学物質が金属原子を含むことは可能である。そのような前駆体の範例はトリエチルボロン(TEB)である。
ここで図7を参照すると、概略の処理フロー図が、ゲート誘電体層210、調整された仕事関数を備えたゲート電極層の下側部分218、ゲート電極層の上側部分214が作製される処理工程を例示している。第1の処理工程701内で、基板201の上に誘電体層210が堆積される。次に、工程702、703、704、および705を通してゲート電極層の下側部分が作製される。処理工程702内で、ゲート電極材料の基礎堆積サイクルがN回にわたって繰り返され、ここでNは0と100の間の整数である。仕事関数を調整するための追加の材料を備えた電極材料を供給するために、改造された堆積サイクルが処理工程703内でM回にわたって繰り返され、ここでMは1と100の間の整数である。堆積サイクルの目標量(すなわちMとNの合計)に到達するまでループ704で処理工程702と703が繰り返される。MとNの目標合計はゲート電極層の厚さおよび各堆積サイクル中に成長する材料の厚さに応じて決まる。ゲート電極の下側層218の堆積は、場合によっては処理工程705内の終端サイクルで終了される。終端サイクルは、基板の表面に−NHx基または−OH基といった活性表面部位を供給する前駆体のパルスを含むことが好ましい。ゲート電極の上側部分は処理工程706内で堆積される。
ゲート電極材料は段階的モードで追加の材料を供給される可能性があり、そこでは追加の材料を含むサイクルの頻度は堆積工程を通じて変化する。これは、電極層の内部で追加の材料の濃度勾配を作り出すために工程702と703で整数NとMを変えることによって為され得る。
その後、堆積サイクルに追加の材料を導入する頻度は、下側電極層の堆積工程の終わりに向けて徐々に増加もしくは減少させられる。段階的モードは、段階的モードの堆積の開始時と終了時で追加の材料の導入頻度がさらに高くなり、それゆえに堆積の中間部分で頻度が低い堆積配列もやはり含む。他方で、段階的モードの堆積の中間部分で追加の材料の導入頻度がさらに高くなることも可能である。
ALDによって成長した堆積TiN膜の仕事関数は5.3eVであり、<111>の結晶配向を有した。PEALDによって成長したTiN膜の仕事関数は4.4eVであり、その結晶配向は<200>であった。普通、TaNはTiNよりもいくぶん低い仕事関数を有するので、PEALD成長のTaNはNMOSトランジスタ用のゲート電極材料として有用である。TaNの組成を、たとえば酸素ドーピングによって変え、かつTaNの結晶配向をALD工程で変えると、TaNの仕事関数はPMOSトランジスタのゲート電極材料用に応用可能となるように上げられることが可能である。酸素ドーピングはTaN膜の電気陰性度を上昇させ、その結果、仕事関数値を上昇させる。
これはSi/SiO2/HfO2/TiN/Wの薄膜構造を堆積させるための実施例である。
酸化ハフニウムのゲート誘電体層が、いかなる適切な方法でも堆積される化学的酸化ケイ素の極めて薄い層の上にALDで堆積される。半導体基板上の化学的酸化ケイ素の堆積は、酸化ハフニウムの成長の開始が順調にいくことを確実化する(すなわち、基板の表面上に−OHx基といった充分量の活性表面部位が存在する)。所望の厚さの酸化ハフニウムが、前駆体としてHfCl4とH2Oを使用してALDで堆積され、堆積温度は300℃である。窒素がキャリヤ・ガスおよびパージ用ガスとして使用される。HfCl4は最初の堆積サイクルの最初の前駆体として反応チャンバに導入されることが好ましい。堆積HfO2膜の表面上にさらに多くの活性表面部位を供給するために、H2Oが最後の堆積サイクルの最後の前駆体として導入されることが好ましい。
酸化ハフニウムのゲート誘電体層が、いかなる適切な方法でも堆積される化学的酸化ケイ素の極めて薄い層の上にALDで堆積される。半導体基板上の化学的酸化ケイ素の堆積は、酸化ハフニウムの成長の開始が順調にいくことを確実化する(すなわち、基板の表面上に−OHx基といった充分量の活性表面部位が存在する)。所望の厚さの酸化ハフニウムが、前駆体としてHfCl4とH2Oを使用してALDで堆積され、堆積温度は300℃である。窒素がキャリヤ・ガスおよびパージ用ガスとして使用される。HfCl4は最初の堆積サイクルの最初の前駆体として反応チャンバに導入されることが好ましい。堆積HfO2膜の表面上にさらに多くの活性表面部位を供給するために、H2Oが最後の堆積サイクルの最後の前駆体として導入されることが好ましい。
窒化チタン膜は同じクラスタ・ツールで(すなわち空気中の中断をせずに)堆積されることが好ましい。窒化チタン膜は、TiCl4とNH3を使用して350℃または350℃以上でALDで堆積され、所望の厚さが達成されるまでその堆積サイクルが繰り返される。酸化ハフニウム表面の上に残留塩素リガンドが存在するのを除外するために、NH3が最初の堆積サイクルの最初の前駆体として反応チャンバに導入されることが好ましい。塩素で末端処理された表面の代わりに−NHx基で末端処理された窒化チタン表面を供給するために、NH3が最後の堆積サイクルの最後の前駆体として反応チャンバに導入されることが好ましい。窒化チタンの上に、金属、たとえばタングステンの薄層が堆積され、それが導体としてはたらく。
上記の説明に従って作製されたゲート積層/デバイスの性能が測定され、ゲート電極の仕事関数もやはり測定される。作製されたゲート電極の仕事関数は4.85eVである。しかしながら、PMOSトランジスタに関する仕事関数の目標値は5.0〜5.2eVの範囲である。したがって、デバイスの性能およびスレショルド電圧は満足できるものではない。デバイスの仕事関数および性能を満足できるレベルに調整するために、ゲート電極層の電気陰性度が上方へ調整される。窒素よりも大きい電機陰性度の元素で電極がドープされる。この実施例では適切な元素は酸素である。
目標の仕事関数を備えたデバイスを堆積させるとき、最初の誘電体層は上述したようにして堆積される。調節されたゲート電極膜(すなわち酸素でドープされた窒化チタン膜)は、窒化チタンのALD堆積サイクルに数回のH2Oのパルスが追加されることを除くと上述したようにしてALDで堆積される。H2Oのパルスは純窒化チタンの数回の堆積サイクルの後に堆積サイクルに挿入されることが好ましい。水のパルスはTiCl4パルスとパージの後、またはNH3パルスとパージの後に堆積サイクルに挿入されることも可能である。調節されたゲート電極膜の堆積サイクルは、たとえば(HfO2表面から開始して)、
(NH3+パージ+TiCl4+パージ)×(10サイクル)+[(NH3+パージ+TiCl4+パージ)×(5サイクル)+(NH3+パージ+TiCl4+パージ+H2O+パージ)×(1サイクル)]×(300サイクル)+NH3+パージ
であることが可能である。
(NH3+パージ+TiCl4+パージ)×(10サイクル)+[(NH3+パージ+TiCl4+パージ)×(5サイクル)+(NH3+パージ+TiCl4+パージ+H2O+パージ)×(1サイクル)]×(300サイクル)+NH3+パージ
であることが可能である。
塩素で末端処理された表面の代わりに−NHx基で末端処理された窒化チタン表面を供給するために、NH3が最後の堆積サイクルの最後の前駆体として反応チャンバに導入されることが好ましい。窒化チタンの上部に薄い、たとえばタングステンが堆積され、それが導体としてはたらく。調節されたゲートの電気陰性度を備えた、作製されたゲート積層/デバイスの性能が測定され、ゲート電極の仕事関数もやはり測定される。全体的電気陰性度の上昇(ゲート電極の適切な酸素濃度に起因する)が理由で、作製されたゲート積層/デバイスの性能およびスレショルド電圧は追加の酸素で作製されたゲート積層/デバイスと比較すると優れたレベルである。言うとすると、TiNxOyゲート電極の仕事関数はここで約5.1eVである。ゲート電極内に形成された−Ti−Ox結合はTiNマトリックスに電子の欠乏/余剰を作り出さず、したがってゲート電極の抵抗値が影響を受けないので、膜内の酸素濃度はゲート電極の抵抗値に大きな影響を与えない。
Claims (17)
- 半導体デバイスを作製する方法であって、
半導体基板の上にゲート誘電体層を堆積させる工程、
ゲート誘電体層の上に下側部分と上側部分を有するゲート電極を形成し、ゲート誘電体層とゲート電極がゲート積層を形成する工程、および
ゲート積層の仕事関数の所望の値を供給するようにゲート電極の下側部分の全体的電気陰性度を調整する工程を含み、
ゲート電極の少なくとも下側部分が、原子層堆積法(ALD)、ラジカル補助型原子層堆積法(RA−ALD)およびプラズマ励起原子層堆積法(PEALD)のグループから選択される原子層堆積法(ALD)タイプの処理によって形成される方法。 - 電極層の下側部分の形成のための原子層堆積法タイプの処理が、元素状金属膜もしくは少なくとも2元組成の化合物膜を形成するために2種類以上の異なる反応物質への基板の交互もしくは繰り返しの暴露の配列を含む1回もしくは複数回の堆積サイクルを有し、かつ堆積サイクルを調節することによって電極の下側部分の仕事関数が調整される、請求項1に記載の方法。
- 堆積サイクルが、ゲート電極材料の選択した堆積サイクルの工程間に少なくとも1回の追加材料のパルスを導入することによって調節される、請求項2に記載の方法。
- 追加材料のパルスが、電極材料よりも高い電気陰性度を有する材料を含む、請求項3に記載の方法。
- 追加材料のパルスが、電極材料よりも高い電気陰性度を有する材料を含む、請求項3に記載の方法。
- 追加材料のパルスが酸素前駆体を含む、請求項3に記載の方法。
- 酸素前駆体がH2O、O2、およびオゾンあるいはそれらの混合物のうちの1つである、請求項6に記載の方法。
- ゲート電極の底部分が、第1の仕事関数を有する第1の材料から成り、電極の上部分が、第2の仕事関数を有する第2の材料から成り、第1および第2の仕事関数のうちの一方がゲート積層の所望の仕事関数よりも大きく、かつ他方がゲート積層の所望の仕事関数よりも小さく、電極の底部分の厚さが、複合ゲート電極がその底の界面で所望の仕事関数を有するように調節される、請求項1に記載の方法。
- ゲート電極の下側部分の厚さが10nm以下である、請求項8に記載の方法。
- 誘電体層がALDタイプの処理によって酸化ハフニウムで形成される、請求項1に記載の方法。
- 誘電体層が酸化ハフニウムで形成され、電極の下側部分が本質的にチタン−アルミニウムの窒化物で構成される、請求項1に記載の方法。
- 誘電体層が酸化ハフニウムで形成され、電極層の下側部分が、酸素ドープされた遷移金属の窒化物で本質的に構成されるように形成される、請求項1に記載の方法。
- 遷移金属の窒化物が窒化タンタルまたは窒化チタンである、請求項12に記載の方法。
- 電極の下側部分が伝導性の拡散バリヤ材料から成る、請求項1に記載の方法。
- ゲート誘電体層、および下側部分と上側部分を備えたゲート電極層を含む半導体デバイス用のゲート積層を作製する方法であって、
基板の上にゲート誘電体層を堆積させる工程、
ゲート誘電体層の上に、
ゲート電極層の下側部分の材料を形成するために1から100回の堆積サイクルが実行される第1の処理工程、
ゲート電極の仕事関数を調整するために1から100回の改造された堆積サイクルが実行される第2の処理工程、および
ゲート電極の下側部分の目標の厚さに到達するまで第1と第2の処理工程を順序に従ってかつ反復して繰り返す工程を含むALDタイプの処理によってゲート電極の下側部分を堆積させる工程、および
ゲート電極の上側部分を堆積させる工程を含む方法。 - 活性表面部位を備えたゲート電極の下側部分の表面を供給するためにゲート電極の下側部分の堆積の後に終端サイクルを堆積させる工程をさらに含む、請求項15に記載の方法。
- 終端サイクルが、−OH基のNHxを備えたゲート電極の下側部分の表面を供給する、請求項16に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US43096002P | 2002-12-03 | 2002-12-03 | |
US10/430,703 US7045406B2 (en) | 2002-12-03 | 2003-05-05 | Method of forming an electrode with adjusted work function |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2004186693A true JP2004186693A (ja) | 2004-07-02 |
Family
ID=32397253
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003404434A Pending JP2004186693A (ja) | 2002-12-03 | 2003-12-03 | 調節された仕事関数で電極を形成する方法 |
Country Status (2)
Country | Link |
---|---|
US (1) | US7045406B2 (ja) |
JP (1) | JP2004186693A (ja) |
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006179860A (ja) * | 2004-12-23 | 2006-07-06 | Hynix Semiconductor Inc | 半導体装置のキャパシタ及びその製造方法 |
JP2006237371A (ja) * | 2005-02-25 | 2006-09-07 | Canon Anelva Corp | high−K誘電膜上に金属ゲートを蒸着する方法及び、high−K誘電膜と金属ゲートとの界面を向上させる方法、並びに、基板処理システム |
JP2006324342A (ja) * | 2005-05-17 | 2006-11-30 | Renesas Technology Corp | 半導体装置およびその製造方法 |
JP2007165414A (ja) * | 2005-12-09 | 2007-06-28 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2007165872A (ja) * | 2005-12-16 | 2007-06-28 | Internatl Business Mach Corp <Ibm> | 二重金属ゲートを含む半導体構造及びその製造方法(二重金属ゲートの自己整合集積化) |
JP2007173796A (ja) * | 2005-12-19 | 2007-07-05 | Internatl Business Mach Corp <Ibm> | pFET材料としての金属酸窒化物を用いた半導体構造およびその製造方法 |
JP2007266376A (ja) * | 2006-03-29 | 2007-10-11 | Fujitsu Ltd | 半導体装置の製造方法 |
JP2008219006A (ja) * | 2007-02-28 | 2008-09-18 | Samsung Electronics Co Ltd | Cmos半導体素子及びその製造方法 |
JP2009503817A (ja) * | 2005-07-20 | 2009-01-29 | アプライド マテリアルズ インコーポレイテッド | ゲート電極構造及び製造方法 |
WO2009101824A1 (ja) * | 2008-02-13 | 2009-08-20 | Nec Corporation | Mis型電界効果トランジスタ及びその製造方法並び半導体装置及びその製造方法 |
JP2012119432A (ja) * | 2010-11-30 | 2012-06-21 | Hitachi Kokusai Electric Inc | 半導体デバイスの製造方法、基板処理装置および半導体デバイス |
JP2013232470A (ja) * | 2012-04-27 | 2013-11-14 | Canon Anelva Corp | 半導体装置およびその製造方法 |
TWI456084B (zh) * | 2006-06-28 | 2014-10-11 | Edwards Ltd | 處理氣流的方法 |
JP2017115234A (ja) * | 2015-09-25 | 2017-06-29 | 東京エレクトロン株式会社 | TiON膜の成膜方法 |
JP2020015980A (ja) * | 2018-07-26 | 2020-01-30 | 東京エレクトロン株式会社 | (200)結晶学的集合組織を有する窒化チタン膜を形成するための方法 |
JP2022083561A (ja) * | 2020-11-25 | 2022-06-06 | 株式会社Kokusai Electric | 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法 |
Families Citing this family (91)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6727169B1 (en) * | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
US9139906B2 (en) * | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
US7563715B2 (en) | 2005-12-05 | 2009-07-21 | Asm International N.V. | Method of producing thin films |
US7122414B2 (en) * | 2002-12-03 | 2006-10-17 | Asm International, Inc. | Method to fabricate dual metal CMOS devices |
US7316950B2 (en) * | 2003-04-22 | 2008-01-08 | National University Of Singapore | Method of fabricating a CMOS device with dual metal gate electrodes |
US6890807B2 (en) * | 2003-05-06 | 2005-05-10 | Intel Corporation | Method for making a semiconductor device having a metal gate electrode |
US7952118B2 (en) * | 2003-11-12 | 2011-05-31 | Samsung Electronics Co., Ltd. | Semiconductor device having different metal gate structures |
US7105889B2 (en) * | 2004-06-04 | 2006-09-12 | International Business Machines Corporation | Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics |
US7268388B2 (en) * | 2004-08-26 | 2007-09-11 | Micron Technology, Inc. | One-transistor composite-gate memory |
US7588988B2 (en) | 2004-08-31 | 2009-09-15 | Micron Technology, Inc. | Method of forming apparatus having oxide films formed using atomic layer deposition |
US7235501B2 (en) | 2004-12-13 | 2007-06-26 | Micron Technology, Inc. | Lanthanum hafnium oxide dielectrics |
US7645687B2 (en) * | 2005-01-20 | 2010-01-12 | Chartered Semiconductor Manufacturing, Ltd. | Method to fabricate variable work function gates for FUSI devices |
US7544398B1 (en) * | 2005-04-26 | 2009-06-09 | The Regents Of The Univesity Of California | Controlled nano-doping of ultra thin films |
US20060289948A1 (en) * | 2005-06-22 | 2006-12-28 | International Business Machines Corporation | Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof |
US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
US8110469B2 (en) | 2005-08-30 | 2012-02-07 | Micron Technology, Inc. | Graded dielectric layers |
JP2007080995A (ja) * | 2005-09-13 | 2007-03-29 | Toshiba Corp | 半導体装置 |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
US7510956B2 (en) * | 2006-01-30 | 2009-03-31 | Fressscale Semiconductor, Inc. | MOS device with multi-layer gate stack |
US20070262395A1 (en) | 2006-05-11 | 2007-11-15 | Gibbons Jasper S | Memory cell access devices and methods of making the same |
US8008144B2 (en) * | 2006-05-11 | 2011-08-30 | Micron Technology, Inc. | Dual work function recessed access device and methods of forming |
US8860174B2 (en) * | 2006-05-11 | 2014-10-14 | Micron Technology, Inc. | Recessed antifuse structures and methods of making the same |
US7759747B2 (en) | 2006-08-31 | 2010-07-20 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-κ dielectric |
KR101427142B1 (ko) | 2006-10-05 | 2014-08-07 | 에이에스엠 아메리카, 인코포레이티드 | 금속 규산염 막의 원자층 증착 |
US7727864B2 (en) * | 2006-11-01 | 2010-06-01 | Asm America, Inc. | Controlled composition using plasma-enhanced atomic layer deposition |
US7611751B2 (en) | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
JP4271230B2 (ja) * | 2006-12-06 | 2009-06-03 | 株式会社東芝 | 半導体装置 |
US7713874B2 (en) * | 2007-05-02 | 2010-05-11 | Asm America, Inc. | Periodic plasma annealing in an ALD-type process |
US7871943B2 (en) * | 2008-02-12 | 2011-01-18 | Qimonda Ag | Method of making transistor gates with controlled work function |
JP5551681B2 (ja) * | 2008-04-16 | 2014-07-16 | エーエスエム アメリカ インコーポレイテッド | アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 |
DE102008035809B3 (de) * | 2008-07-31 | 2010-03-25 | Advanced Micro Devices, Inc., Sunnyvale | Technik zum Verringern der Silizidungleichmäßigkeiten in Polysiliziumgateelektroden durch eine dazwischenliegende Diffusionsblockierschicht |
US7951678B2 (en) * | 2008-08-12 | 2011-05-31 | International Business Machines Corporation | Metal-gate high-k reference structure |
US8753936B2 (en) * | 2008-08-12 | 2014-06-17 | International Business Machines Corporation | Changing effective work function using ion implantation during dual work function metal gate integration |
US9711373B2 (en) * | 2008-09-22 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a gate dielectric for high-k metal gate devices |
US9024299B2 (en) * | 2008-10-14 | 2015-05-05 | Imec | Method for fabricating a dual work function semiconductor device and the device made thereof |
EP2584601B1 (en) * | 2008-10-14 | 2015-08-19 | Imec | Method for fabricating a dual work function semiconductor device |
US7824986B2 (en) * | 2008-11-05 | 2010-11-02 | Micron Technology, Inc. | Methods of forming a plurality of transistor gates, and methods of forming a plurality of transistor gates having at least two different work functions |
US20100109098A1 (en) * | 2008-11-06 | 2010-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gate structure including modified high-k gate dielectric and metal gate interface |
US7960802B2 (en) * | 2008-11-21 | 2011-06-14 | Texas Instruments Incorporated | Methods to enhance effective work function of mid-gap metal by incorporating oxygen and hydrogen at a low thermal budget |
US8674451B2 (en) | 2008-12-10 | 2014-03-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | N/P metal crystal orientation for high-K metal gate Vt modulation |
US8557702B2 (en) * | 2009-02-02 | 2013-10-15 | Asm America, Inc. | Plasma-enhanced atomic layers deposition of conductive material over dielectric layers |
US8124515B2 (en) | 2009-05-20 | 2012-02-28 | Globalfoundries Inc. | Gate etch optimization through silicon dopant profile change |
US8860150B2 (en) * | 2009-12-10 | 2014-10-14 | United Microelectronics Corp. | Metal gate structure |
US8518486B2 (en) | 2010-05-12 | 2013-08-27 | Micron Technology, Inc. | Methods of forming and utilizing rutile-type titanium oxide |
US8617956B2 (en) | 2010-08-19 | 2013-12-31 | International Business Machines Corporation | Method and structure for forming high-K/metal gate extremely thin semiconductor on insulator device |
US8802522B2 (en) | 2010-09-10 | 2014-08-12 | Applied Materials, Inc. | Methods to adjust threshold voltage in semiconductor devices |
US9202822B2 (en) | 2010-12-17 | 2015-12-01 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
JP5963456B2 (ja) | 2011-02-18 | 2016-08-03 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、及び基板処理方法 |
US9384962B2 (en) * | 2011-04-07 | 2016-07-05 | United Microelectronics Corp. | Oxygen treatment of replacement work-function metals in CMOS transistor gates |
US9136180B2 (en) | 2011-06-01 | 2015-09-15 | Asm Ip Holding B.V. | Process for depositing electrode with high effective work function |
FR2976726A1 (fr) | 2011-06-16 | 2012-12-21 | St Microelectronics Crolles 2 | Circuit integre comprenant une tranchee d'isolement et procede correspondant |
US9087872B2 (en) | 2011-07-27 | 2015-07-21 | Stmicroelectronics (Crolles 2) Sas | Method for forming an insulating trench in a semiconductor substrate and structure, especially CMOS image sensor, obtained by said method |
US8987095B2 (en) | 2011-08-19 | 2015-03-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a carbon-free dielectric layer over a carbon-doped dielectric layer |
US9105577B2 (en) | 2012-02-16 | 2015-08-11 | International Business Machines Corporation | MOSFET with work function adjusted metal backgate |
JP6272830B2 (ja) | 2012-04-24 | 2018-01-31 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低エッチング速度のハードマスク膜のための酸素ドーピングを伴うpvdaln膜 |
US8704232B2 (en) | 2012-06-12 | 2014-04-22 | Apple Inc. | Thin film transistor with increased doping regions |
US9065077B2 (en) | 2012-06-15 | 2015-06-23 | Apple, Inc. | Back channel etch metal-oxide thin film transistor and process |
US8987027B2 (en) | 2012-08-31 | 2015-03-24 | Apple Inc. | Two doping regions in lightly doped drain for thin film transistors and associated doping processes |
US9685557B2 (en) | 2012-08-31 | 2017-06-20 | Apple Inc. | Different lightly doped drain length control for self-align light drain doping process |
US8748320B2 (en) | 2012-09-27 | 2014-06-10 | Apple Inc. | Connection to first metal layer in thin film transistor process |
US8999771B2 (en) | 2012-09-28 | 2015-04-07 | Apple Inc. | Protection layer for halftone process of third metal |
US9201276B2 (en) | 2012-10-17 | 2015-12-01 | Apple Inc. | Process architecture for color filter array in active matrix liquid crystal display |
US9040404B2 (en) | 2012-11-14 | 2015-05-26 | International Business Machines Corporation | Replacement metal gate structure for CMOS device |
US8895434B2 (en) | 2012-11-14 | 2014-11-25 | International Business Machines Corporation | Replacement metal gate structure for CMOS device |
CN103855014B (zh) * | 2012-11-30 | 2017-10-20 | 中国科学院微电子研究所 | P型mosfet及其制造方法 |
US9001297B2 (en) | 2013-01-29 | 2015-04-07 | Apple Inc. | Third metal layer for thin film transistor with reduced defects in liquid crystal display |
US9088003B2 (en) | 2013-03-06 | 2015-07-21 | Apple Inc. | Reducing sheet resistance for common electrode in top emission organic light emitting diode display |
US9412602B2 (en) | 2013-03-13 | 2016-08-09 | Asm Ip Holding B.V. | Deposition of smooth metal nitride films |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US8841182B1 (en) | 2013-03-14 | 2014-09-23 | Asm Ip Holding B.V. | Silane and borane treatments for titanium carbide films |
JP6467171B2 (ja) | 2013-09-17 | 2019-02-06 | 株式会社半導体エネルギー研究所 | 半導体装置 |
US9394609B2 (en) | 2014-02-13 | 2016-07-19 | Asm Ip Holding B.V. | Atomic layer deposition of aluminum fluoride thin films |
US9349726B2 (en) * | 2014-03-26 | 2016-05-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device fabrication method and structure |
US10643925B2 (en) | 2014-04-17 | 2020-05-05 | Asm Ip Holding B.V. | Fluorine-containing conductive films |
US10002936B2 (en) | 2014-10-23 | 2018-06-19 | Asm Ip Holding B.V. | Titanium aluminum and tantalum aluminum thin films |
US9540729B1 (en) | 2015-08-25 | 2017-01-10 | Asm Ip Holding B.V. | Deposition of titanium nanolaminates for use in integrated circuit fabrication |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
SG10201607880PA (en) * | 2015-09-25 | 2017-04-27 | Tokyo Electron Ltd | METHOD FOR FORMING TiON FILM |
US9941425B2 (en) | 2015-10-16 | 2018-04-10 | Asm Ip Holdings B.V. | Photoactive devices and materials |
US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
CN107180750A (zh) * | 2016-03-09 | 2017-09-19 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
KR102378021B1 (ko) | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
JP6755164B2 (ja) * | 2016-11-14 | 2020-09-16 | 東京エレクトロン株式会社 | TiN系膜およびその形成方法 |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
US10504901B2 (en) | 2017-04-26 | 2019-12-10 | Asm Ip Holding B.V. | Substrate processing method and device manufactured using the same |
CN114875388A (zh) | 2017-05-05 | 2022-08-09 | Asm Ip 控股有限公司 | 用于受控形成含氧薄膜的等离子体增强沉积方法 |
US10672783B2 (en) * | 2017-08-30 | 2020-06-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit and method for manufacturing the same |
KR20190065962A (ko) | 2017-12-04 | 2019-06-12 | 에이에스엠 아이피 홀딩 비.브이. | 유전체와 금속 표면 상에 SiOC의 균일한 증착 |
Family Cites Families (39)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO1994014198A1 (en) | 1992-12-11 | 1994-06-23 | Intel Corporation | A mos transistor having a composite gate electrode and method of fabrication |
EP0854505A3 (en) | 1997-01-21 | 1998-11-11 | Texas Instruments Incorporated | Process of depositing a TiN based film during the fabrication of a semiconductor device |
US6225168B1 (en) | 1998-06-04 | 2001-05-01 | Advanced Micro Devices, Inc. | Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof |
US6130123A (en) | 1998-06-30 | 2000-10-10 | Intel Corporation | Method for making a complementary metal gate electrode technology |
US6166417A (en) | 1998-06-30 | 2000-12-26 | Intel Corporation | Complementary metal gates and a process for implementation |
US6066533A (en) | 1998-09-29 | 2000-05-23 | Advanced Micro Devices, Inc. | MOS transistor with dual metal gate structure |
US20020008257A1 (en) | 1998-09-30 | 2002-01-24 | John P. Barnak | Mosfet gate electrodes having performance tuned work functions and methods of making same |
US6291282B1 (en) | 1999-02-26 | 2001-09-18 | Texas Instruments Incorporated | Method of forming dual metal gate structures or CMOS devices |
US6635939B2 (en) | 1999-08-24 | 2003-10-21 | Micron Technology, Inc. | Boron incorporated diffusion barrier material |
EP1221178A1 (en) | 1999-10-15 | 2002-07-10 | ASM America, Inc. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6261950B1 (en) | 1999-10-18 | 2001-07-17 | Infineon Technologies Ag | Self-aligned metal caps for interlevel metal connections |
US6373111B1 (en) | 1999-11-30 | 2002-04-16 | Intel Corporation | Work function tuning for MOSFET gate electrodes |
US6383879B1 (en) | 1999-12-03 | 2002-05-07 | Agere Systems Guardian Corp. | Semiconductor device having a metal gate with a work function compatible with a semiconductor device |
KR100358056B1 (ko) | 1999-12-27 | 2002-10-25 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 산화막 형성방법 |
US6407435B1 (en) | 2000-02-11 | 2002-06-18 | Sharp Laboratories Of America, Inc. | Multilayer dielectric stack and method |
US6498872B2 (en) * | 2000-02-17 | 2002-12-24 | Jds Uniphase Inc. | Optical configuration for a dynamic gain equalizer and a configurable add/drop multiplexer |
AU2001245388A1 (en) | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
AU2001260374A1 (en) | 2000-05-15 | 2001-11-26 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6368954B1 (en) | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
WO2002050922A1 (en) | 2000-12-18 | 2002-06-27 | Stanford University | Gate electrode with depletion suppression and tunable workfunction |
KR100387259B1 (ko) | 2000-12-29 | 2003-06-12 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
US6537901B2 (en) | 2000-12-29 | 2003-03-25 | Hynix Semiconductor Inc. | Method of manufacturing a transistor in a semiconductor device |
US6713846B1 (en) | 2001-01-26 | 2004-03-30 | Aviza Technology, Inc. | Multilayer high κ dielectric films |
US6596576B2 (en) | 2001-04-10 | 2003-07-22 | Applied Materials, Inc. | Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4 |
US6518106B2 (en) | 2001-05-26 | 2003-02-11 | Motorola, Inc. | Semiconductor device and a method therefor |
US6511876B2 (en) | 2001-06-25 | 2003-01-28 | International Business Machines Corporation | High mobility FETS using A1203 as a gate oxide |
US6700771B2 (en) | 2001-08-30 | 2004-03-02 | Micron Technology, Inc. | Decoupling capacitor for high frequency noise immunity |
US6806145B2 (en) * | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US6960537B2 (en) * | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
US6458695B1 (en) | 2001-10-18 | 2002-10-01 | Chartered Semiconductor Manufacturing Ltd. | Methods to form dual metal gates by incorporating metals and their conductive oxides |
US6730588B1 (en) | 2001-12-20 | 2004-05-04 | Lsi Logic Corporation | Method of forming SiGe gate electrode |
US6696332B2 (en) | 2001-12-26 | 2004-02-24 | Texas Instruments Incorporated | Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing |
US6824816B2 (en) | 2002-01-29 | 2004-11-30 | Asm International N.V. | Process for producing metal thin films by ALD |
US6730163B2 (en) | 2002-03-14 | 2004-05-04 | Micron Technology, Inc. | Aluminum-containing material and atomic layer deposition methods |
US6717226B2 (en) | 2002-03-15 | 2004-04-06 | Motorola, Inc. | Transistor with layered high-K gate dielectric and method therefor |
US20040036129A1 (en) * | 2002-08-22 | 2004-02-26 | Micron Technology, Inc. | Atomic layer deposition of CMOS gates with variable work functions |
US6714435B1 (en) | 2002-09-19 | 2004-03-30 | Cova Technologies, Inc. | Ferroelectric transistor for storing two data bits |
US6723581B1 (en) | 2002-10-21 | 2004-04-20 | Agere Systems Inc. | Semiconductor device having a high-K gate dielectric and method of manufacture thereof |
-
2003
- 2003-05-05 US US10/430,703 patent/US7045406B2/en not_active Expired - Lifetime
- 2003-12-03 JP JP2003404434A patent/JP2004186693A/ja active Pending
Cited By (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006179860A (ja) * | 2004-12-23 | 2006-07-06 | Hynix Semiconductor Inc | 半導体装置のキャパシタ及びその製造方法 |
JP2006237371A (ja) * | 2005-02-25 | 2006-09-07 | Canon Anelva Corp | high−K誘電膜上に金属ゲートを蒸着する方法及び、high−K誘電膜と金属ゲートとの界面を向上させる方法、並びに、基板処理システム |
JP2006324342A (ja) * | 2005-05-17 | 2006-11-30 | Renesas Technology Corp | 半導体装置およびその製造方法 |
JP2009503817A (ja) * | 2005-07-20 | 2009-01-29 | アプライド マテリアルズ インコーポレイテッド | ゲート電極構造及び製造方法 |
JP2014078734A (ja) * | 2005-07-20 | 2014-05-01 | Applied Materials Inc | ゲート電極構造及び製造方法 |
JP2007165414A (ja) * | 2005-12-09 | 2007-06-28 | Toshiba Corp | 半導体装置及びその製造方法 |
JP4557879B2 (ja) * | 2005-12-09 | 2010-10-06 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP2007165872A (ja) * | 2005-12-16 | 2007-06-28 | Internatl Business Mach Corp <Ibm> | 二重金属ゲートを含む半導体構造及びその製造方法(二重金属ゲートの自己整合集積化) |
JP2007173796A (ja) * | 2005-12-19 | 2007-07-05 | Internatl Business Mach Corp <Ibm> | pFET材料としての金属酸窒化物を用いた半導体構造およびその製造方法 |
JP2007266376A (ja) * | 2006-03-29 | 2007-10-11 | Fujitsu Ltd | 半導体装置の製造方法 |
TWI456084B (zh) * | 2006-06-28 | 2014-10-11 | Edwards Ltd | 處理氣流的方法 |
JP2008219006A (ja) * | 2007-02-28 | 2008-09-18 | Samsung Electronics Co Ltd | Cmos半導体素子及びその製造方法 |
WO2009101824A1 (ja) * | 2008-02-13 | 2009-08-20 | Nec Corporation | Mis型電界効果トランジスタ及びその製造方法並び半導体装置及びその製造方法 |
JP5676111B2 (ja) * | 2008-02-13 | 2015-02-25 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JP2012119432A (ja) * | 2010-11-30 | 2012-06-21 | Hitachi Kokusai Electric Inc | 半導体デバイスの製造方法、基板処理装置および半導体デバイス |
JP2013232470A (ja) * | 2012-04-27 | 2013-11-14 | Canon Anelva Corp | 半導体装置およびその製造方法 |
JP2017115234A (ja) * | 2015-09-25 | 2017-06-29 | 東京エレクトロン株式会社 | TiON膜の成膜方法 |
JP2020015980A (ja) * | 2018-07-26 | 2020-01-30 | 東京エレクトロン株式会社 | (200)結晶学的集合組織を有する窒化チタン膜を形成するための方法 |
JP7330793B2 (ja) | 2018-07-26 | 2023-08-22 | 東京エレクトロン株式会社 | (200)結晶学的集合組織を有する窒化チタン膜を形成するための方法 |
JP2022083561A (ja) * | 2020-11-25 | 2022-06-06 | 株式会社Kokusai Electric | 半導体装置の製造方法、プログラム、基板処理装置および基板処理方法 |
JP7324740B2 (ja) | 2020-11-25 | 2023-08-10 | 株式会社Kokusai Electric | 基板処理方法、プログラム、基板処理装置及び半導体装置の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
US7045406B2 (en) | 2006-05-16 |
US20040106261A1 (en) | 2004-06-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7045406B2 (en) | Method of forming an electrode with adjusted work function | |
US7563715B2 (en) | Method of producing thin films | |
TWI550698B (zh) | 半導體處理的方法 | |
US7727864B2 (en) | Controlled composition using plasma-enhanced atomic layer deposition | |
JP6218384B2 (ja) | タングステンゲート電極を備えた半導体装置の製造方法 | |
KR101065045B1 (ko) | 실리콘 혼입에 의해 일 함수를 조정하여 금속 게이트구조를 형성하는 방법 | |
US7651961B2 (en) | Method for forming strained silicon nitride films and a device containing such films | |
US7091568B2 (en) | Electronic device including dielectric layer, and a process for forming the electronic device | |
US20060051925A1 (en) | Atomic layer deposition of metal oxynitride layers as gate dielectrics | |
US9224594B2 (en) | Surface preparation with remote plasma | |
US20100084713A1 (en) | Semiconductor device manufacturing method and semiconductor device | |
EP1449243A1 (en) | Method for forming an oxynitride spacer for a metal gate electrode using a pecvd process with a silicon-starving atmosphere | |
TW202247469A (zh) | 以偶極膜工程化的mosfet閘極 | |
JP4931939B2 (ja) | 半導体デバイスを形成する方法 | |
US7713868B2 (en) | Strained metal nitride films and method of forming | |
US8178446B2 (en) | Strained metal nitride films and method of forming | |
JP2023537931A (ja) | 不純物を含まない金属合金膜を形成するための方法 | |
US8946036B2 (en) | Method of forming dielectric films using a plurality of oxidation gases | |
US8592305B2 (en) | Doping aluminum in tantalum silicide | |
JP4967407B2 (ja) | 半導体装置の製造方法 | |
KR100780605B1 (ko) | 탄탈륨지르코늄산화막을 구비한 반도체소자 및 그의 제조방법 |