TWI326897B - Method for manufacturing semiconductor devices - Google Patents

Method for manufacturing semiconductor devices Download PDF

Info

Publication number
TWI326897B
TWI326897B TW095109279A TW95109279A TWI326897B TW I326897 B TWI326897 B TW I326897B TW 095109279 A TW095109279 A TW 095109279A TW 95109279 A TW95109279 A TW 95109279A TW I326897 B TWI326897 B TW I326897B
Authority
TW
Taiwan
Prior art keywords
substrate
interface layer
layer
gate
gas
Prior art date
Application number
TW095109279A
Other languages
English (en)
Other versions
TW200717651A (en
Inventor
Cory Wajda
Masanobu Igeta
Gerrit J Leusink
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200717651A publication Critical patent/TW200717651A/zh
Application granted granted Critical
Publication of TWI326897B publication Critical patent/TWI326897B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/34Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in more than one step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Inorganic Insulating Materials (AREA)

Description

1326897 九、發明說明: 【發明所屬之技術領域】 大體而言’本發明係關於適用於製造電子裝置之方法及 統,以及用於電子裝置之材料。 ’、 【先前技術】 無。 【發明内容】 • 大體而言,本發明係關於在基板上備製高介電係數介電層用 之界面層。將基板之一表面暴露於氧自由基以形成氧化膜,該 自由基係由紫外光引發第一處理氣體之解離所形成,而該第— . 理氣f至少^含具有氧之一分子成分。將氧化膜暴露於氮自由義 ^ 以將氧化膜氮化而形成界面層,該氮自由基係由電漿引發第二二 ;理氣體之解離所形成,而該第七處理氣體至少包含具有氮之一= 子成分。在該界面層上形成高介電係數介電層。 刀 【實施方式】 ITV〇2氧化 現參,關,® i顯示在基板上形成氧氮化層之處理系統。 :及包ί矽基板,而氧氮化物層可包含藉岐板之氧 面戍氧化狩Hi切層。基板表面可為絲面、氧化物表 艾ί;及氮化系統2G ’用以將含氮之分子成分通 於、二L r氧化系統10及氮化系統2°中施行監 二巧即及控制處理中至少一者。雖然在圖丨中 及氮化系統20為分別之模組,但此兩者可包含相 组、、” 根據-實施例,圖二顯示施行氧化處理之處理系統示意圖。 5 1326897 處理糸統101包含·處理室110 ’具有基板支座120,該支架係用 以支撐具有矽(Si)表面之基板125。處理室no更包含:電磁輻射 組件130 ’用以將基板125暴露於電磁輻射。此外,處理系統1〇1 包含:連接至電磁輻射組件130之電源150 ;及連接至基板支座 120之基板溫度控制系統160,該溫度控制系統係用以升高及控制 基板125之溫度。氣體供給系統140係連接至處理室no,係用以 將處理氣體通入至處理室110。例如在氧化處理中,處理氣體可包 含含氧氣體,如〇2、NO、N〇2或Νβ。可以約30 sccm至約5 slm - 之流量通入處理氣體,其包含:30、35、40、45、50、55、60、 65、70、75、80、85、90、100、250、275、300、400、500、600、 700、800、900 或 1〇〇〇 seem、2、3、4 或 5 slm 或其任何組合。此 外(未圖示),可將淨化氣體通入至處理室110。淨氣體可包含惰 性氣體,如氮氣或稀有氣體(即氦、氖、氬、氙、氪)。淨化氣體之 -流量可自約0 slm至約5 slm,其包含:〇、1、2、3、4、5、6、7、 8、9、10、20、30、35、40、45、50、55、60、65、70、75、80、 、85、90、100、250、275、300、400、500、600、700、800、900 或1000 seem、2、3、4或5 slm或其任何組合。 例如’電磁輻射組件130可包含一紫外(uv)光源。該^源 了為單色或多色。此外,該UV源可用以產生波長足以解離處理 _鲁氣體,如〇2之輻射。在一實施例中,該紫外光具有自約145nm 至約 192mn 之波長,其包含:145、147、15〇、155、171、172、 ^73、175、180、185、190及192nm等相稱於受解離分子之結合 能的波長。電磁輻射組件130可在約5mW/cm2至約5〇mw/cm2 之功率下操作’其包含:5、6、7、8、9、10、11、π、15、Π、 7 ^ ' 3〇 ' 4〇、5〇mW/Cm2或其任何組合。電磁輕射組件130 可包含一、二、二'四或更多之輻射源。該輻射源可包含燈或雷 射或其組合。 仍參照圖2,處理系統1〇1可用以處理2〇〇_基板、3〇〇_ 基板,或更大尺寸之基板。事實上,熟知本技藝者應注意:應考 6 f 制處理任何尺寸之基板、晶®4LCDs。因此,即 不限係以處理半導體基板之方式來作相關敘述,但本發明並 % =參照圖2 ’處理系統1〇1包含:連接至基板支座12〇之基板 二制系統160 ’其用以升高及控制基板125之溫度。基板溫度 系統160包含溫度控制元件,如加熱系統,可包含電阻式加 二或ί熱式加熱7冷卻器。此外,基板溫度控制系統160可包含 ^部系統’該冷卻系統包含一再循環冷卻流,自基板支座12〇 =熱並將熱傳遞至熱交換系統(未圖示),或#加熱時自熱交換系 ,熱。且’基板溫度控制系統16〇可包含設置於處理室no 之腔室壁中之溫度控制元件,及其他處理系統101内之任何苴他 元件。 ’、 為改善基板125及基板支座120間之熱傳,基板支座12〇可 包含一機械式夾具系統或一電子式夾具系統,如靜電夹具系統, 以將基板125固定於基板支座12〇之上表面。又,基板支座12〇 ^可包含一基板背側氣體輸送系統,用以將氣體通入基板125之 背侧以改善基板125及基板支座12〇間之氣隙熱傳導。當吾人需 在經升高或降低之溫度下來控制基板溫度時,可使用此類之系 統。例如,基板为側氣體系統可包含兩區域氣體分配系統,其中 在基板125之中央與邊緣間氦之氣隙壓力可獨立變化。 此外,處理室110更經由管道138而連接至包含真空泵浦系 統134及閥136之壓力控制系統132,其中壓力控制系統134係用 以可控制性地將處理室110抽真空至適於在基板125上形成薄膜 且適於使用第一及第二處理材料之壓力。 真空泵浦系統134可包含一抽取速度能上至約每秒5〇〇〇升(或 更大)之渦輪分子泵浦(ΤΜΡ) ’而閥136可包含用以調節腔室壓力 之閘閥。在習知之電漿處理襞置中,通常使用每秒約5〇〇至約3〇〇〇 升之ΤΜΡ。此外,可將監控腔室壓力之裝置(未圖示)連接至處理 室110。例如,該壓力測量裝置可為由MKS儀器公司(And〇ver,麻 97 Ί)所販售之型號為628B Baratron之絕對電容壓力計。 此外,處理系統1〇1包含控制器17〇,其連接至處理室U〇、 〇ϋ20、電磁輕射組件130、電源150及基板溫度控制系統 者^此外,控制器170可連接至一或多個額外之控制器/ 巧不),且控㈣17〇可自額外之控制器/電腦 及/ 或組態資訊。 在圖2中顯示單一之處理元件(11〇、12〇、13〇、15〇、16〇及 im二ί5並非本發明所必需。除了獨立之處理元件外,處理系統 + 3任何數目之處理元件,而該些處理元件可具有任何數目 之與其相關的控制器。 控制器I70可配置有任何數目之處理元件(110、120、130、150 5 3 ΐ控制器170可收集、提供、處理、儲存及顯示來自處 =之賢料。控制器可包含數個應用程度,以控制一或多 it元件。Γ如,控制器170可包含圖型化使用者介面_)元 控於仙之使使用者關監控及/或 17G包含:微處理11、記紐及數位輸入 m 、、〜數位輸入輸出接口能夠產生控制電壓,此控制電壓 和活化處理系統1〇1之輸入訊號’而且可監測來白 ίίΠ,出訊號。例如’儲存於記憶體中的程式可用以 ί據2處來活化前述處理系統101之元件的輸入以施行處 。處理糸,控制器17〇之—例為自德州奥斯、;了之朗公司(⑽ corporation,Austin,Texas)所生產的 DELLPRECISI〇n WORKSTATION 610™。 理李it 設置在處理系統101之附近,或其可設置在處 理糸統101之退螭。例如,控制器17〇可使用直接連結、 際網路及無線連結中之至少一種來與處理系統101交換資 如’控制器170可連接至客戶端(即’裝置製造者)之内部網 ,或例如,其可連接至供應商端之内部網路(即,機台製造者)。 UJ0897 此外例如,控制p 17Π 控制器、飼服器i)可使用際網路。而且,另一台電腦(即, 網路或網際網路控制器170以藉由直接連結、内部 音:和制) 一種來交換資料。熟知本技藝者應注 ^ 可藉由無線網路來與處理系統101交換資料。 或者ϋ ^可包含介於約G°c至約_°c間之基板溫度。 ίί下f板1可介於約2GG°C至約7〇〇°C。因此,可在下列之 m : 200'225 ' 250'275 ' 300'325 '350' 375 ^ iM onn。广·+〇、550、600、650、700、750、800、850、900、950 或1000 °C,或其任何組合。 例如’可將處理冑11〇中之麗力維持在約⑺邊汀至約 30000 ,订。或者’可將虔力維持在約2〇mT〇rr至約刚On·。更或 ,可將壓力維持在約5G hiTgit至約5GG mTorr。因此,可在約1 mTorr至約30000 mT〇rr之屢力下施行氧化其包含]、23、*、 5、6、7、8、9、10、20、30、40、50、60、70、80、90、1〇〇、 250、500、750、1〇〇〇、10_、2〇〇〇〇、或 3〇〇〇〇 mT〇n>,或立任 何組合。 /' 之速率來旋轉,其包含:1、2、3、4、5、6、7、8、9 12、Π、14、1 ς、1 A、1 7、1 c、1 〇、ΟΛ、ΟΛ 仏 ,圖3係根據本發明之另一實施例之處理系統之示意圖。處理 糸統^00包含:處理室210,其中具有基板支座22〇,而基板支座 220設置有用以升高基板225之溫度的加熱器224,其可為電阻式 加,器。或者,加熱器224可為燈式加熱器或任何其他型式之加 熱态。又,處理室210包含:連接至處理室21〇之底部且連接至 真空泵浦234之排氣管線238。基板支座220可藉著驅動機構(未 圖示)來旋轉。基板可在基板表面之平面上_ 10、11 或任何其組合 13、14、15、16、17、18、19、20、30、40、50 或 60 rpm 處理室210包含基板225上之一處理空間245。處理室21〇 之内表面包含由石英所製成之内襯墊212,以抑制待處理之基板 225受到金屬污染。 土 9 1326897 广處理室210包含:具有位於排氣管線238之對面之噴嘴242 的氣體管線240 ’用以使處理氣體流過基板225上方。在處理办間 245中處理氣體以層流方式越過基板奶,且由排氣管線挪^ 理室210受到排放。遠端電漿源252與氣體入口 25〇相 在基板225之上游遠端產生電漿。 ,在例中,可將基板225暴露於來自於紫外光源230之紫外 光紫外光源230經由石英窗232將光發射進入噴嘴242盘芙搞 225間之處理空間245。或者,紫外光源23〇及石英窗23/可·^蓋 整個基板225。 =參照圖3 ’控制器270包含:微處理器、記憶體及數位輸入 輸出接口。該數位輸入輸出接口能夠產生控制電壓,此控制電壓 J但足以溝通和活化處理系統綱之輸入訊號,而且可監測來自 處理糸統200之輸出訊號。且,控制器27〇 =4、加熱器224、紫外光源2黯遠端電漿源二$ 者父換資訊。控制器270可以UNIX系之工作站來實施。或者, 可以普通途之電腦、數位訊號處理彡統等來實施。 ,在^細吾人希望清理基板表面或自基板表面將原生氧化物 “、、:理理步驟包含濕式化學清理來完成,或 及接績使該基板表面與HF接觸而將一裸石夕表面形成於 ~暴板表面上來完成,或以上述兩者來完成。 基Jί ’將基板125置於_支座120(圖”或220(圖2)上。接 期望/理t,或,中之條件(Μ力、溫度、基板旋轉等)達到 士 於疋,猎由氣體供給系統140或噴嘴242將含氧之分子 七通^處^⑽或210中。將電磁輻射組件13〇或230通 給人^ t理風體形成氧自由基。在圖3之實施例中,可藉由供 子成分至人口 25G以增加氧自由基之數量。當氣體通 漿源252時產生氧自由基,接著將氧自由基通入至處理 乳自由基與基板125之表面結合以氧化絲表面。該表面之 1326897 成分可為Si〇2。 氧化作用可發生約5秒至約 20、25,、35、40、5〇、6〇二:= …如或祝蝴’或其任何組合。5、6、7、8、9、1〇 氧化膜可具有約0.1 nm至約3 nm之厘库甘… 或 3 nm。該氧化膜可 2 ' 2、2·7、2·8、2.9 ^ 0.3 ^ 0, ^ 〇, . 〇ί : 其他=於口条件或特徵亦可應用至 據以施行: τ之條件可作為上述條件外之其他條件而 ^-v V2 參數 普通 低 高 壓力 0.1 Τ 0.01 τ 20 T 溫度 ----- 700 °C 400 °C j 800°C~~ Ατ_4^ 0 0 l·----- 2 slm 〇2氣體 450 seem 100 seem 2 slm 時間 60 sec 10 sec j 5 min 請於鈔卜抓)絲'__岐級财法在申 之歐洲專利中請案編號㈣53·】之申 明案=所揭4 ’故特將其所有内容包含於此作為參考。 處理本發明之一實施例之電聚處理系統的示意圖,該 1 1生之i ”特徵在於.低電子溫度(低於約 不損宝度(例如〉約1XlGl2/em3),根據本發明其能夠在 不^ °閘極堆®之情況下對其施行處理。例如,處理系統400 11 1326897 本敍之東京威力_股份有限公⑽販售之 S SPA處理系統。電漿處理系統4〇〇包含:處理室45〇, ^ ΐ於基板458之開口部分451。設置由石英、氧化銘 ^化賴製成之柱形介電質頂板454以罩蓋開σ部分451。氣體 ,給官線47^立於處理室450之上部侧壁中,位於頂板454之下體 f二例中’氣體饋給管線472之數目可為10(僅兩者示於圖4 或,,可使用不同數目之氣體饋給管線472。氣體饋給管線472 可设置於處理室450之周® ’但此並非本發明所必須。可自氣 饋給管線472將處理氣體平均且均勻地供給至處理室45〇中之電 漿區,459。或者,可配置相對於排放端之基板458之上游側上的 饋給官線472,使其作為適合氮化用之遠端即電漿源。 在電漿處理系統450中’經由具有複數個槽口 46〇A的平面天 ,組件460,將微波功率經過頂板454而供給至處理室45〇。槽口 平面天線460可由金屬板所製成,如銅。為了將微波功率供给曰至 槽口平面天線460 ’將波導463設置於頂板454上,自該處、波^導 463連接至微波電源461,以產生具有頻率例如約2 45 GHz之微 波。波導包含:下端連接至槽口平面天線46〇之平面環形波導 463A:連接至環形波導463A之上表面側的環形波導463B ;及連 接至環形波導463B之上表面側的共軸波導變頻器463C。且,矩 开力皮導463D連接至共軸波導變頻器463C之側表面及微波電源 461。 ’、 於環形波導463B之内部以共軸方式設置導電材料之共軸部 分462’俾使共轴部分462之一端連接至槽口平面天線460之上表 面的中央(或近中央)部分,且共軸部分462之另一端連接至環形^ 導463B的上表面,因此形成共軸結構。因此,以俾使環形波導 463B具有共軸波導之功能的方式來建構之。例如,微波功率可介 於約0.5 W/cm2至約4 W/cm2。或者,微波功率可介於約〇 5 w/cm2 至約 3 W/cm2。 此外,在真空處理室450中設置基板支座452,使其與頂板 12 1326897 454面對面以支撐及加熱基板458(例如,晶圓)。基板支座452包 含加熱器457以加熱基板458,其中加熱器457可為電阻式加熱 器。或者,加熱器457可為燈式加熱器或任何其他型式之加熱器。 又,處理室450包含連接至其底部及連接至真空泵浦455之排氣 線 453。 將έ有一刀-3肌刀·<*肌胆艰八王示玩2υ【園1)、處理室 11〇(圖2)、210(圖3)及/或450(圖4)以進行氮化,而該分子成分具 有氣。任何含氮之成分皆適合,例如ν2、、Ν〇、Ν2〇、 任一者單獨使用,或加以組合。一旦將成分通入,含氮成分可藉 由下列方式解離:藉由微波輻射電漿所引發之解離,其係基於由 具有複數個狹缝之平面天線的微波輻射;或藉由腔室内電$所引 發之解離;或者,其可藉著位於基板上游之虹電漿源將处 耦合至含氮成分而產生解離。 任何含氮之成分皆適合,例如凡、N0、n2〇、N〇2任一 獨,用,或加以組合。在-實施例中,在氮化、氧氮化或回 ,體中之分子成分可包含N2,且選祕地 群^ 出之至少-氣體,該族群包含:H2、^、He、Ne、 =其組合。在-實施财,第二處理氣體中 $ # 且選擇性地包含下列族群中所選出之至少j 包含:H2、Ar ' He、Ne、Xe或& ’或任何騎^ Ϊίί^成分可適當地包含〜而幢所引發之^解離而產 度,氧至約-之厚 2.5、2.6、2·7、2.8、2.9、3、3 卜” ”· 2J、2·3、2.4、 4、4.1、4.5 或 5 nm,或其任何纽人· y : 3·4、3.5、3.6、3.8、 至約4%之厚度變動,其包含.〇 α =氮化物膜可具有約0.2。/〇 或 4%。 ^〇‘2、0.3、〇.5、〇.7、〇.9、卜2、3 13 1326897 氮化反應可於約20 °C至約1000 °C之基板溫度下施行,其範 圍包含:20、30、40、50、60、70、80、90、100、125、150、175、 200、225、250、275、300、325、350、375、400、450、500、550、 600、650、700'750、800、850、900、950 或 1000。(:,或其任何 組合。 氮化反應可於約1 mTorr至約30000 mTorr之壓力下施行,其 包含:1、2、3、4、5、6、7、8、9、10、20、30、40、50、60、 70、80、90、100、250、500、750、1000、10000、20000 或 30000 mTorr,或其任何組合。 含氮分子成分N2之流量範圍可自約2 seem至約5 slm,而第 二氣體中之含氮分子成分可自約100 seem至約5 slm。上述範圍包 含:2、3、4、5、6、7、8、9、10、20、30、35、40、45、50、 55、60、65、70、75、80、85、90、100、250、275、300、400、 500、600、700、800、900 或 l〇〇〇(sccm)、2、3、4 或 5(slm),或 任何其組合。 可施行氮化反應約5秒至約25分鐘,其範圍包含:5、1〇、 15、20、25、30、35、40、50、60(秒)、2、3、4'5、6、7、8、9、 10、15、20或25(分鐘)’或其任何組合。 氧氮化物膜可具有約20%或更少之氮濃度,其包含:4、6、8、 φ 10、12、14、16、18 及 20%或更少。 氧化電漿可藉由約0.5 W/cm2至約5 W/cm2之微波輸出所產 生,其包含:0.5、0.6、0.7、0.8、0.9、卜 U、1.3、1.5、1.7、1.9、 2、3、4、或5 W/cm2 ’或其任何組合。 微波輻射可包含微波頻率約300 MHz至約10 GHz,其包含: 300、400、500、600、700、800、900 或 1000(MHz)、1.5、2、3、 4、5、6、7、8、94 10(GHz)。 在此實施例中,電漿可包含低於約3 eV之電子溫度,其包含: 0.1、0.3、0.5、0.7、0.9、1、1.5、2、2.5 或 3 eV,或其任何組合。 電漿可具有約lxl〇U/cm3至約ixl〇i3/cm3或更高之密度,且密度均 1326897 勻度約±3%或更小,其包含:±1、土2及士3〇/〇。 平面天線組件在其表面上可具有一表面積大於基板表面之表 面積,膜係沈積至該基板上。 電漿室可具有石英作為襯裡以防止金屬污染。 可將具有孔口之水平板(未圖示)設置於頂板454及基板458 β 減4到達基板之氮自由基的量。該水平板可由石英、氧化 =氮化喊其他材料所製成。設計水平板中之孔口圖樣, 供基板一均勻的自由基暴露環境。 合適之氧氮化物膜可具有通式si〇N。 輸出ΪΪ照制器4"包含:微處理器、記憶體及數位輸入 不作足以、^Ϊ輸人輸出接口能誠生控㈣壓,此控制電壓 處理系統_之輸人訊號,而且可監測 室450、455 之輸出訊號。且,控制器4"連接至處理 資訊。錯存L己憶體〇中的及微波電源461,並與上述者交換 制前述電裝處理處f來控 作站。或者,可以普朝途之電腦、數位訊號處^ 網際在電聚處理系統400之附近’或其可藉由 電聚處理系統彻之遠端。因此,控制器I?? 之内部網路,或1可連接工連接至各戶知(即’裝置製造者) 者)。而且,另腦m商端之内部網路(即,機台製造 以藉由直接連结、口内器、飼服器等)可使用控制器4" 數:相對於前述之該些參數,下列為SPA氮化參 15 1326897
SPAN 參數 普通 低 高 壓力 50 mT 10 mT 10 T 溫度 400 °C 25 °C 800 °c Ar氣體 1 slm 100 seem 5 slm N2氣體 40 seem 5 seem ———— 1 slm 時間 20 sec 5 sec 5 min 在申凊於2002年1月22日之歐洲專利申請案編號EP 1361605 A1之申請案中對於包含槽口平面天線電漿源之其他合適之電漿 處理系統,以及對於使用該系統之方法有所闡述,特將其所有内 容包含於此作為參考。 除了使用圖4之設備而施行SPA氮化反應外,或使用圖4之 設備而施行SPA氮化反應後,可施行RpN氮化反應。可將氧化膜 (或乳氣化物膜)暴露於氮自由基中,該氮自由基係由上游電漿引發 上游處理氣體解離所形成,而該上游處理氣體包含且右条 分子成分,其中上游電漿引發之解離包含使用電漿,而^漿係藉 由將射頻(RF)功率耦合至上游氣體所產生<»圖3及圖4中顧"R ^ 遠端電毁系統。 #” 圖3中所示之處理系統包含:具有氣體入口 25〇之遠端電毁 源252 ’其適合用以在遠端及基板之上游產生電漿。使遠端電製^ 252中所產生之氮電漿順流越過基板125之表面,而到達排 238及泵浦234。在圖3之處理系統中可使基板旋轉(如環狀箭;頭 示)。在此方式下,氮化反應、氧氮化反應或回火之均勻度^所 善。 文 或者,可將遠端RF電漿源包含於饋給管線472中,使发、备 作為氮化反應之遠端RF電漿源。 /、<於 以下提出可能之RF氮化反應參數: 1326897
RFN 參數 普通 低 —高 壓力 200 mT ------ 10 mT 10 T 溫度 400 °C 25 °C 1000 °c Ar氣體 1 slm 500 seem 10 slm N2氣體 100 seem 10 seem 1 slm 時間 60 sec 5 sec 5 min 高介電係數介雷皙 s:=;、,r二。,_、_、』 數。膜在約2G °c下具有約高於4之介電係 300 : : 4 . 5 . 6.7 > 8 . 9 ^ 10 11 12 .f3 ! 式:16 入 17 18、19、2〇、30、50、70、90、100、200 或 300, 或其任何組合。 可藉由-處理來將合叙高介電魏介電卿成於氧氮 膜上i該處理為自下列族群中所選擇出之至少一者,此族群包含: 化學氣相沈積(CVD)、原子層沈積(alD)、有機金屬CVD(M〇c 及物理化學氣相沈積(PVD) ’或其任何組合。 可適當地將該高介電係數介電膜回火及/或氮化。 LP回火 ▲在主要膜層備製好後(如氮化臈或氧氮化膜或高介電係數介電 膜),將其回火。LP(低歷)回火適於進行氧氮化物及/或高介雷丰 介電膜之回火。 LP回火可在約5mTorr至約800ΤΟΠ*之壓力下施行,其包含: 5、6、7、8、9、10、20、30、40、50、60、70、80、90、1〇〇、 17 1326897 250、500、750、1000、10000、20000、30000、50000、100000、 200000、400000 或 800000 mTorr,或其任何組合。 LP回火可在約500 °C至約1200 °C之溫度下施行,其包含: 500、550、600、650、700、750、800、850、900、950、1000、 1100或1200 °C,或其任何組合。 LP回火可在回火氣體包含至少一分子成分且流量介於〇至2〇 slm之情況下施行’而該分子成分包含:氧、氮、、&、He、 Ne、Xe或Kr,或其任何組合。在一實施例中,於使用n2之情況 , 下’有效之LP回火的Ns流量介於約〇 s〖m至約2〇 sim,其包含: 2、3、4、5、6、7、8、9、10、20、30、35、40、45、50、55、 60、65、70、75、80、85、90、1〇〇、250、275、300、400、500、 600、700、800、900 或 l〇〇〇(sccm)、2、3、4、5、1〇、15 或 2〇(slm), 或其任何組合。 可施行LP回火約1秒至約10分鐘,其範圍包含:1、2、3、 :4、5、6、7、8、9、10、15、20、25、3〇、35、4〇、5〇、6〇(秒)、 _ 2、3、4、5、6、7、8、9或10(分鐘),或其任何組合。 可在相同之處理室中施行LP回火及氮化反應,在此案例中吾 人可在氮化反應後與回火前施行至少一淨化步驟。當缺 在不同之處理室中施行氮化反應相心在此實施例;:,吾^ #在不使基板接_外界環境、空氣等之情況下,將具有膜層之基 板自一腔室傳送至另一腔室。 以下為施行LP回火之另一組條件: 回火(LPA> 、 參數 普通 低 高 壓力 1T 50 mT 760 T 溫度 1000 ΐ 800 °C~~ 1100°c 氣體 1 slm 0 ~ 10 slm 〇2氣Θ 1 slm 10 slm 時間 15 sec 5 sec 5 min 18 UV02/N,後回火 用以作為另一形成後處理,UVCb/N2後回火適於以下列方式 對氧^化物膜或高介電係數膜進行回火:將膜或層暴露於氧自1 基及氮自由基,該等自由基係由紫外_光引發回火氣體解離所 產生,而回火氣體包含至少一具有氧及氮之分子成分。 - 後回火適於以下列方式來對氧氮化物膜進行回火: 將氧氮化物膜暴露於氧自由基及氮自由基,該等自由基係由係由 1紫外光引發回火氣體解離所產生,而回火氣體包含至少一具 鲁有氧及氮之分子成分。氧及氮自由基係自回火氣體所解離,該回 火氣體包含至少一具有氧及氮之分子成分,該分子成分選自下列 族群中,其包含:〇2、N2、N〇、N〇2AN2〇,或其任何組合。可 使用之其他氣體’例如H2、Ar、He、Ne、Xe或Kr中之一或多者, i 或其任何組合。 _ 在此回火之一實施例中’回火氣體流動越過氧氮化物及/或高 •介電係數介電質之表面’以使氧及氮之自由基包含於越過該表面 之回火氣體的層流内。 回火可在約1 mTorr至約800000 mTorr之壓力下施行,其包 含:1、2、3、4、5、6、7、8、9、10、20、30、40、50、60、70、 -鲁 80、90、1〇〇、250、500、750、1000、10000、20000、30000、50000、 100000、200000、400000 或 800000 mTorr ’ 或其任何組合。 回火可在約400°C至約1200°C之溫度下施行,其包含:500、 550、600、650、700、750、800、850、900、950、1〇〇〇、11〇〇 或 1200 °C,或其任何組合。 回火氣體可具有約Oslm至約20slm之流量,其包含:0、1、 2、3、4、5、6、7、8、9、10、20、30、35、40、45、50、55、 60、65、70、75、80、85、90、100、250、275、300、400、500、 600、700、800'900 或 lOOO(sccm)、2、3、4、5、10、15 或 20(slm), 或其任何組合。 19 1326897 可施行回火約1秒至約10分鐘,其範圍包含:1、2、3、4、 5、6、7、8、9、10、15、20、25、30、35、40、50、60(秒)、2、 3、4、5、6'7、8、9或10(分鐘)’或其任何組合。 此回火之紫外光可包含約145至約192 nm之波長,其包含: 145、147、150、155、17卜 172、173、175、180、185、、19〇 及 192 nm,相稱於受到解離之分子的結合能。此輻射可為單色光 多色光》 其可源於在約5mW/cm2至約50mW/cm2之能量下操作之紫 外光源,其包含:0.5、0.6、0.7、0.8、0.9、;1、1.1、1.3、U、1 7'、
1·9'2、3、4或5mW/cm2,或其任何組合。可使用一或多種紫外 光源。 η 回火及氮化反應可在相同之處理室中施行,在此案例中吾人 可在氮化反應後與回火前施行至少一淨化步驟。當然吾人可在不 同之處理室中施行氮化反應及回火。在此實施例中,吾人可在不 使基板接觸到外界環境、空氣等之情況下,將具有膜層之基板自 一腔室傳送至另一腔室。 ΜΝ德回少 作為另一形成後處理’ RFN後回火適於以下列方式來對氧氮 化物膜進行回火:將氧氮化物膜暴露於氮自由基中,該氮自由基 係由亡游電漿引發上游回火氣體解離所產生,上游回火氣體包含 具有氮之上游分子成分’且其中該上游電漿引發之解離包含使用 電裝’該電漿係藉由將射頻(RF)功率耦合至上游回火氣體所產 生,俾使氮自由基以層流方式流動越過表面。 5、6、7 10、20、30、40、50、60、70 回火可在約1 mTorr至約20000mTorr之壓力下施行,其包 含:1、2、3、4 80、90、1〇〇、25〇、5〇〇、75〇、1〇〇〇、1〇〇〇〇、2〇〇〇〇,或其任何 組合。 回火可在約20 °C至約1200 °C之溫度下施行,其包含:20、 3〇、40、50、60、70、80、90、1〇〇、200、300、400、500、550、 20 1326897 850、900、950、1000、iioo 或 12〇〇 600、650、700、750、800 °C ’或其任何組合。 可施行回火約1秒至約25分鐘’其範圍包含:1、2、3、4、 5、6、7、8、9、10、15、20、25、30、35、40、50、60(秒)、2、 3、4、5、6、7、8、9、10、15或20(分鐘),或其任何組合。 於使用N2之情況下,回火可在介於約2sccm至約2〇§1111之 N2流量下施行,其包含:〇、1、2、3、4、$、6、7、8、9、1〇、 20、30、35、40、45、50、55、60、65、70、75、80、85、9〇、 1〇〇、250、275、遍、400、500、_、700、_、9〇〇 或 1〇〇〇(sccm)、 2、3、4、5、10、15 或 20(slm),或其任何組合。 回火可於使用其他氣體之情況下施行,例如:h2、^、He、
Ne、Xe或Kr’或其任何組合。上述之其他氣體的流量可自約1〇〇 seem 至約 20 slm,其包含:100、25〇 ' 275、3〇〇、4〇〇、5〇〇、6〇〇、 ::組:0。或—^ =使用來施行回火,該電漿係藉由將軸㈣功率輕合 =游=氣體而在遠端產生,該射頻能量具有約4〇kHz至約4 4〇〇ZSf ίΐή 其包含:4〇、5〇、6〇、7〇、8〇、9〇、100、200、300、 :700'8〇0'9〇0 ^ 1〇〇〇(kH2) ' 1 ·5'2'3 ^ ^ 裝置 可使用此中之方法來形成電子或半導體 3 .夕日日矽、非晶矽及SiGe,或其任何組合。 在下巧參考文件中闡述了其他適合之^統及方法, ^之内容旱獨包含於此作為參考:申請於 2日 玍η η Γ 年月7日之邛2001-374631 ,·申請 於 2001 年 12 月 7 日之 jp2001_374632 ; 日之JP 2001-374633 ;申請於2001年12月28日之尕 21 1326897 2001-401210;申請於 2002 年 4 月 19 日之 ΧΡ2002_118477;申請 於2002年1月22日之US 2004/0142577 A1;及申請於2002年12 月 6 日之US 2003/0170945 A1。 本發明並不限於上述實施例,在不脫離其範疇及精神下仍可 以其他方式來施行或實施本發明。 【圖式簡單說明】 圖1顯示在一實施例中用以在基板上形成氮氧化物之處理系 統0 鲁圖2顯示在一實施例中用以施行氧化處理之處理系統示意圖。 圖3顯示在一實施例中之另一處理系統。 、圖4顯示在一實施例中之電漿處理系統,該處理系統包含用 以處理閘極堆疊之槽口平面天線(SPA)電漿源。 【主要元件符號說明】 1 :處理系統 1〇 :氧化系統 20 :氮化系統 30 :控制器 # 110 :處理室 120 ·基板支座 125 ·基板 130:電磁輻射組件 132 :壓力控制系統 134 :真空泵浦系統 136 :閥 138 :管道 140 :氣體供給系統 150 =電源 22 1326897 160 :基板溫度控制系統 170 :控制器 200 :處理系統 210 :處理室 212 :内襯墊 220 :基板支座 224 :加熱器 225 :基板 ' 230 :紫外光源 232:石英窗 ® 234:真空泵浦 238 :排氣管線 240 :氣體管線 . 242 :喷嘴 : 245 :處理空間 . 250 :氣體入口 252 :遠端電漿源 270 :控制器 400:電漿處理系統 籲450 :處理室 451 :開口部分 ' 452 :基板支座 453 :排氣線 454:柱形介電質頂板 455 :真空泵浦 457 :加熱器 458 :基板 459 :電漿區域 460 :平面天線組件 23 1326897 460A :槽口 461 :微波電源 462 :共軸部分 463 :波導 463A :平面環形波導 463B ··環形波導. 463C :共軸波導變頻器 472 :氣體饋給管線 499 :控制器

Claims (1)

1326897 年月曰修正替換頁 mig. 18— 十、申請專利範圍: 1·厂種在基板上備製閘極堆疊用之界面層的方法,其包含下列井 驟· ,藉^將絲板之—表面暴露魏自域而氧化該 成—氧化膜’該氧自由基係藉由紫外(UV)光引 二-處理㈣離^形成,頓第—處理氣體至少包含一具 氧之分子成分; 〃 -氮化_ ’勤賴氧化歸露於氮自由絲氮化 膜 界面層’該氮自由基係藉由賴 二 離所形成,該第二處理氣體包含具有氮之至少—分子=孔體解 該界一面高數_形_,將—高__層形成於 人回火雜面層及該南介電係數介電層步驟,接續在兮古 ipm 至約 60 rpm 其中,在該氧化步驟期間,使該基板以 的速度在該基板表面之平面中旋轉。 =ti板上備製問極堆疊用之界面層的 =其中祕板表面為-石夕表面、—氧化物表面或一氧化石夕表 之界面層的 n〇2 ’或其兩者㈣者之她〇或 t ί體He、Ne、Xe或&,或其任何組合所構成^i中喊 4.如申請專利範圍第1項之在基板上備製閘極堆疊用之界面層的 k. 25 1326897 聲替換頁 而該氧ϋ ί:由成分包含。2, 利範圍第i項之在基板上備製閘極堆疊用 方法’ /、中該氧化膜具有約lnm至約3nm的厚度。I _的 方法,其中該氧化膜具有約〇.2%至約4〇/<m之界面層的 L如圍第1項之在基板上備製開晴用之界面層的 使該第-處理氣體流動越過該基板表面 含於越過職板表面之該第—處理氣咖—層流^氧自由基包 ,其咖。。。。至約 項 _至約5伽之〇2流量下施行該氧化步驟刀。匕3 02 ’且在約30 的方基板上備製閘極堆疊用之界面層 氣麗該第:;ί係含至少一第二 匕3叫、Ar、He、Ne、Xe或Kr或其 26 1326897 任意組合之族群,且其中該第二氣體之流量自約。slnS·: 的方補帛1項之在基板上備伽卿4狀界面層 的方法,射施行該氧化步驟約5秒簡25分鐘的—段時間。 之ΐ基板上備製閘極堆疊狀界面層 射。U亥i外先引發之解離中的該紫外光包含l72nm之輕 的方t申利範圍第1項之在基板上備伽極堆疊用之界面芦 解離中的該紫外先 uw/cm至約5〇mW/cm2下操作之—紫外光源。 ί方t申tt利範圍第1項之在基板上備製閘極堆疊用之界面声 多個紫外光源。 系卜光源自於兩個或 疊用之界面層 =如申請專利範圍第丨項之在基板上備製閑 的方法,更包含: 在該氧化步驟前,自該基板表面鎌―原生氧化物。 =申㈣取椒増·铜之界面層 基板表面上 之界面層 18·如申請專利範圍第1項之在基板上備製閘極堆叠用 27 ^26897 的方法’其中該氧化膜具有一通式Si〇 巧,二r二備她堆歡— 21·如中請專利翻第丨項之在基板上備 的方法,其中該電㈣發該第二處理氣體解離包之界面層 電聚使用基於經由具有複數無縫之平面天線^的微波輕射之 22· ^申請專利翻第丨項之在基板上備製剛 ^方法’其中該第二處理氣體中之該分子成^用之界面層 地包含選自於由馬、々,、阶、沿或^;或^2’並選擇性 的族群中之至少一氣體。 、任思'組合所構成 m:範圍第1項之在基板上備製閉極堆疊用之界面層 在回火該界面層及該高介電係數 ,選自包含下列之⑴、⑺及⑶的族群中之或之後,藉 介電係數介電層: 處理而氮化該高 ⑴將該高介電係數介電縣露於氮 J由電漿5!發-第三處理氣贿離所形成,而H該氮自由基係 有氦之至少一分子成分; 二處理氣體具 (2)將該高介電係數介電層暴露於氮 具有氣之至少—分子成分’其中該電«發該 28 1326897 使^於經由具有複數個狹缝之平面天線 葬由介魏自由基,而魏自由基係 2由電f引發-第二處理氣麟離卿成,而該第三處理氣體包 ιίί氮之至少—分子成分,其中該電*引發該第三處理氣體解 ^包3:使用基於藉由將射頻(RF)功率糕合至該第三處理氣體而 發生上游電漿生成反應之電漿。 =·如申明專利範圍第23項之在基板上備製閘極堆疊用之界面層 」法’其巾藉由將該高介電係數介f層暴露於氮自由基而將其 =化’該氮自由祕朗基於經由具有複數個狹縫之平面天線组 電聚引發該第三處理氣體解離而形成,該第三處 理乳體包含具有氮之至少一分子成分。 中ΐί利翻第24項之在基板上備製_堆疊用之界面層 聽^ it該第三處理氣體中之該分子成分包含Ν2及托,並選 成之族體He、Ne、Xe或&,或其任意組合所構 ί方t申利範圍第24項之在基板上備製閘極堆疊用之界面層 者,三處理氣體中之該分子成分包含N2或_或兩 該虱自由基係由電漿引發Ν2或ΝΗ3或兩者之解離所產生。 的方t申;月f*利範圍第24項之在基板上備製閘極堆疊用之界面層 之基板溫度下電係數介電層之氮化係在約2〇〇C至約1〇〇〇°C 的方t 24項之在基板上備製閘極堆疊用之界面層 法/、中該尚;I電係數介電層之氮化係在約2 mT〇rr至約 29 30,000mTorr之壓力下施行。 年月日修正替换頁 2上如申請專利範圍冑24帛之在基板上備製閘極堆疊用之 传其中該第三處理氣體中之該分子成分包含N2,且該氮^匕 你在为2 seem至約5 slm之N2流量下施行。 申^概圍第%項之在基板上備製閘極堆_之界面層 只方法’其巾該第三處理氣體中之該分子成分更包含選自 Ϊ: ί、处或&或其任意組合所構成群族中的至少一 弟二軋體,且中該第三氣體之流量為約1〇〇 sccm至約5幻瓜/ 3的1方t申範圍第24項之在基板上備製閘極堆疊用之界面層 高介電絲介電層之氣化係施行約5秒至約Μ分 3的2方t申^利範圍第Μ項之在基板上備製閘極堆疊用之界面層 eV的-,|^^麟高介電餘蝴⑽概含小=3 3的3方利範圍第%項之在基板上備製閘極堆疊用之界面層 1x10 化該高介電係數介電層的該具有約 至約1x10的讀’及約±3%或更低之密度均勾度。 的方專中:圍氮 mWW至约5 ^化2該^,電係數介電層的該電漿係由約0.5 伽至約5mW/cm的一微波輸出所產生。 =申項之在基板上備製閉極堆疊用之界面層 方去其中用於統該高介電係數介電層的該微波輻射包含约 30 #8.&. 替換頁 300 MHz至約10GHz的一微波頻率。 37 iiHSSSS 第三處理氣體而發生上游電漿生成反應之電衆 3的==二基⑶^^^ 2係數介電軸⑽綱_處理‘=:處= 上ί力=:數介電層係在約1 一至約觸 如申睛專利範圍第37項之在基板 層係在約咖=== 4的1方利範圍第37項之在基板上備製閘極堆疊用之界面声 進行氮化高介電係數介電層約1秒至約25分鐘的一段^ 31 1326897 年月日修正替換頁I 37項之在基板上備製閘鱗 Si動;分子成分包含以約™ :方二申§d第、37項之在基板上備製閘極堆疊用之界面層 η、Δ 中。上游为子成分包含氮,並選擇性地包含選自於由 ―2第三氣體e。、6、&或&或其任意組合所構成的族群中之至少 4的4方=專中 Ar、H二中、i t子成y刀包含氮’並至少包含選自於由H2.、 三$科6日6甘或-或其任意組合所構成的族群中之至少一第 一風體’且“中該第三氣體具有約1〇〇 sccm至約2〇 —的流量。 :方t申。第37項之在基板上備製閘極堆疊用之界面層 的方法,其中射頻㈣功率具有約4〇kHz至約4MHz的頻率。 宇第1項之在基板上備製祕堆疊用之界面層 、法’,、中該氧化步驟及該氮化步驟係在相同之處理室中施行。 4的7方t申圍Γ項之在基板上備製_堆疊用之界面層 行曰y*驟及該減*步驟係在該朗之處理室中施 仃,且在該德步驟後與魏錄爾施行至少—淨化步驟。 4的8方項之f基板上備製_堆疊用之界面層 方去〃中該乳化步驟及該氪化步驟係在不同處理室中施行。 49.如申請專利範圍第i項之在基板上備製閑極推叠用之界面層 32 的,方法,甘中士士气r i 為Ulf替換頁 驟係在一;:;亥=驟係在-第-處理室中施行 自該第输制咖將該基板 的方t1 圍第1項之在基板上備製間極堆疊用之界面層 上形ΙίίΙίΙ進ΐ係接續在該界面層形成之後且在該界面層 申5專利範圍第50項之在基板上借製閘極堆疊用之界面層 _ ,z、中該回火該界面層係在約5mTorr至约800ΤΟΓΓ之壓力 下方C*行D * . =·如申請專利範圍第50項之在基板上備製閘極堆疊用之界面層 法,其中該回火該界面層係在約5〇〇。匸至約1200 °C之溫度 下施行。 53. 如申睛專利範圍第5〇項之在基板上備製閘極堆疊用之界面層 鲁 的方法,其中該回火該界面層係在包含氧、氮、HyA^He'Ne、 Xe或Kr或其任意組合中之至少一分子成分之回火氣體下施行。 54. 如申請專利範圍第50項之在基板上備製閘極堆疊用之界面層 的方法,其中該回火該界面層係在以約〇slm至約如^之凡流 量流動的N2下施行。 / 55. 如申請專利範圍第50項之在基板上備製閘極堆疊用之界面層 的方法,其中該回火該界面層係在以約〇 slm至約2〇 slm之〇2流 量流動的〇2下施行。 33 1326897 5的申t專利範圍第5G項之在基板上備製閘極堆疊用之界面層 ,其巾該氮化步驟及該回火該界面層係在_同之處理室 加行,且在該氮化步驟後與該回火前施行至少一淨化步驟。 3申5^她圍第5。項之在基板上備製閘極堆疊用之界面層 ϋ方法’其中域化步驟及該回火該界面層係在不同處理室中施 的古t申:專.圍第50㉟之在基板上備製閘極堆疊用之界面層 界面禺牛步驟係在一第一處理室中施行,且該回火該 4古义二'糸在一第二處理室中施行,且其中使具有該界面層或 祕數介電層的該基板不接觸空氣而自該第-處理室傳送 主孩第二處理室。 Γ古申ΐ專1範圍第5G項之在基板上備製閘極堆疊用之界面層 由其盘藉由將該界面層或該高介祕數介電層暴露於氧自 係基而施行該回火該界面層’該氧自由基與氮自由基 且;光引發—回火氣體解離所形成,_火氣體包含 ,、有乳及氮之至少-第三分子成分。 =方 ==利範圍第6g項之在基板上備製閘極堆疊用之界面層 及xm二中該第二分子成分包含選自於由〇2、N2、N0、n〇2 2 ,或其任何組合所構成族群中之氧及氮。 62.如申請專利範圍帛60項之在基板上備製閘極堆疊用之界面層 34 』326897 輸 f 自 或Kr或其任意組合所構成族群中之至少一者。 的方t申圍第6G項之在基板上借製閘極堆疊用之界面声 =Ξ===^ HiF0^ 中旋轉 $ _的速度在該基板表面的一平面 65. 的方:申範圍第60項之在基板上備製閘極堆疊用之界面声 的>1力下施I 界_係摘1 mTGrr 6的7·方t申翻^=項之在基板上備製祕堆疊狀界面層 f法,其中該回火該界面層氣體具有約0slm至約20slm的流 H申!#專利範圍第6G項之在基板上備製閘極堆疊用之界面層 的方法,其中施行該回火該界面層⑴秒至約10分鐘的一段時間。 69.如申請專利範圍第60項之在基板上備製閑極堆叠用之界面層 35 1^26897 ,彔、月曰修正替換 -V ,.v
的方法,其_在該紫外光引發 m至約192一™, =方ΐ申ΐ專利範圍第6G項之在基板上借製閘極堆相之界面# 的方法,財在該紫外光引發 用之界面層 mW/Cm2 i 娜中的該紫外光,源自於在約5 7的1•方ΓΐΙϋ範圍第6G項之在基板上備製·堆翻之界面層 或更多個紫t外光引發之解離中的該紫外伽 如申請專利範圍第50項之在基板上備製閘極堆聂 而^法’其中藉由將該界面縣露於氮自由基以施行該回 3 由基係藉由—上游電聚引發—上游回火氣體解^所 化成,該上游回火氣體包含—具有氮之上游分子成分,且該 使用藉由將射頻嶋一 73.如申請專利範圍帛72項之在基板上備製閘極堆疊用之界面層 的方法’射細火該界面層與該氮化㈣係在姻之處理 或不同之處理室中施行。 74·如申請專利範圍第72項之在基板上備製閘極堆疊用之界面層 的方法,其中該回火該界面層係在約lmTorr至約2〇〇〇〇ιηΤ〇ιτθ 之壓力下施行。 ’ 75.如申請專利範圍第72項之在基板上備製閘極堆疊用之界面層 的方法,其中該回火該界面層係在約20 °C至約12〇〇 °c之基板溫 度下施行。 & /m 36 1326897 夕月曰修正替換頁 18- 76. 如申請專利範圍第72項之在基板上備製閘極堆疊用之界面層 的方法,其中該回火該界面層施行約1秒至約25分鐘之一段時間。 77. 如申請專利範圍第72項之在基板上備製閘極堆疊用之界面層 法其中該回火該界面層係在以約2 seem至約20 slm之凡 量流動之n2下施行。 如申請專利範圍第72項之在基板上備製問極堆疊用之界面層 X竹’其中該上游分子成分包含氮及選自於由H2、^、He、Ne、 或Kr或其任意組合所構成族群中之至少一第二氣體 J·方 項之在基板上備製閘極堆疊用之界面層 Xe或κ/或其^音组及選自於由Η2、々、版、价、 fHe、Ne' 该射雜F)源具有約40败至約4MKz^頻率第。二礼體,且其中 It m自;^閘極糊之界面層 化膜氮細形成該界面層:辦(1)及(2)中的處理來將該氧 ⑴將該氧化膜暴露於氮自 於紐由具有複數個狹縫之平面天漿使】基 37 1326897 Ia&m正替換頁I 兮氧化膜暴露於氮自由基,該氮自由基係藉由賴引發 該^-處理讀解離所形成,該第二處理氣體包含具有氮之至 -=子成分,其中該賴引發該第二處理氣體解離包含··使用其 賴輕合至該第二處理氣體而發生顺i =申 ;由基係使用基於經由具有複數個狹 含冗氣體解離而形成’該第二處理氣體包 性地ΐ含選自ϋ ΐ· tT之該分子成分包含叫及氏,並選擇 群中的Xe或&或其任意組合所構成族 基係由產之生該分子成分包含N2,而該氮自由 的方法申圍第82項之在基板上備製閘極堆疊用之界面層 去、、中該鼠化係在約2〇 t至約i,_ ec的基板溫度下施行。 的方法申利,圍帛82項之在基板上備製閘極堆疊用之界面層 施行。’、中該氮化係在約1 mT〇n·至約30,000mTorr的壓力下 87. 如申睛專利範®第82項之在基板上備製雜堆疊狀界面層 38 1326897 ^8¾日Μ雜頁丨 31其二雜氣财之齡子成分包^ 係在約2 seem至約5 slm之N2流量下施行。 概㈣82項之在基板上備製閘極堆如之界面層 =方法,其中該第二處理氣體中之該分子成分更包含選自於由 哲2: ^、He、Ne、Xe或&或其任意組合所構成族群中的至少-第一軋體,且該第二體之流量為約1〇〇sccm至約5s^。 !^如土申利範㈣82項之在基板上備製閘極堆疊用之界面層 的方法,其中該氮化施行約5秒至約25分鐘之一段時間。 9的翻第82項之在基板上備製閘極懸狀界面層 的方法,其中用於該氮化之該電漿包含小於約3 6乂的一電子溫度。 圍第82項之在基板上備製閘極堆疊用之界面層 声中用於該氮化之該電聚具有'約1x1011至約lxl〇13的密 度,及約±3%或更低之密度均勻度。 至約5 W/cm2的微波輸 9的2方範,82項之在基板上備製閘極堆疊用之界面層 的方去,其中該電漿係藉由約〇.5 mW/cm2 出所產生。 10 GHz之微波頻 的方82項之在基板上傷製閘極堆疊用之界面層 去/、中該微波輻射包含約300 MHZ至約 平。 39 丄jzo奶7 的方圍第81項之在基板上備製間極堆疊用之界面層 自由基係二二2該氧化膜暴露於氮自*基*使其氮化’該氮 氣體包含i有發該第二處理氣體解離所形成,該第二處理 氣體解少—分子成分’其中該電料發該第二處理 氧體而發生场妓生献狀電漿。-爲第一處理 第95項之在基板上備製閘極堆疊用之界面層 下被氮化 膜係在約1 mTGrr至約2g,_虹⑽的壓力 的方法申5圍第95項之在基板上備製閘極堆疊用之界面層 中該氧化膜係在約2G °C至約1㈣°c的—基板溫^ 9的8方 圍第95項之在基板上備製閘極堆疊用之界面層 ,、中該乳化膜進行氮化約i秒至約25分鐘之一段時間。 的方法’其中該分子成分包含以約2 流動的1^2。 難__之界面層 seem 至約20slmiN2流量 Γ的方如法申t專中t範基板上備製間極堆疊用之界面 万去其中該分子成为包含氮,並選擇性地包 二氣Ϊ:Ne,或祕任意組合所構成之群族中的至少-2第 ⑼.如申請專利範圍第95項之在基板上備製間極堆疊用之界面 40 ΙΑ日修正替換頁 f的方法’其中該分子成分包含氮及選自於由H2、Ar、He、Ne、 6或&或其任意組合所構成族群中的至少一第二氣體,且其中 ^第二氣體具有約100 seem至約20 slm的流量。 如申請專利範圍第95項之在基板上備製閘極堆疊用之界面 3、方法’其中該射頻(处)功率具有約40 kHz至約4 MHz的頻率。 =3士如申請專利範圍第丨項之在基板上備製閘極堆疊用之界面層 ,方法,其中該高介電係數介電膜係選自於由Zr〇2、Hf〇2、丁%曰、 、Al2〇3、HfSi〇、η⑽〇、郎趣、私风及 BaSrTi〇3 具任何組合所構成之族群中。 4 請專利範圍第丨項之在基板上備製閘極堆疊用之界面声 ί Ϊ 中在2G °c下該高介電健介麵具有高㈣4的介i 105士,中請專利範圍第1項之在基板上備製閘極堆疊用之界 中在2G°CT該高介電係數介電膜具有約4 至約3〇/ IT方ί申?f利範圍第1項之在基板上備製閘極堆疊用之界面岸 1㈣獅之界_ 在該高介電餘介電耻形成選自於由包分糾、非晶石夕 1326897 年月曰修正替換頁i 8-! 及SiGe的族群中之至少一者。 108. —種半導體或電子裝置之製造方法,包含申請專利範圍第i 項之在基板上備製閘極堆疊用之界面層的方法。
42
TW095109279A 2005-03-30 2006-03-17 Method for manufacturing semiconductor devices TWI326897B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/093,261 US20060228898A1 (en) 2005-03-30 2005-03-30 Method and system for forming a high-k dielectric layer

Publications (2)

Publication Number Publication Date
TW200717651A TW200717651A (en) 2007-05-01
TWI326897B true TWI326897B (en) 2010-07-01

Family

ID=37073905

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095109279A TWI326897B (en) 2005-03-30 2006-03-17 Method for manufacturing semiconductor devices

Country Status (6)

Country Link
US (1) US20060228898A1 (zh)
JP (1) JP2008537848A (zh)
KR (1) KR20080002908A (zh)
CN (1) CN101151717A (zh)
TW (1) TWI326897B (zh)
WO (1) WO2006107417A2 (zh)

Families Citing this family (288)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
DE102005023437A1 (de) 2005-05-20 2006-11-30 Merck Patent Gmbh Verbindungen für organische elektronische Vorrichtungen
JP4983025B2 (ja) * 2006-01-17 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
WO2007132884A1 (ja) * 2006-05-17 2007-11-22 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US8203176B2 (en) * 2007-03-08 2012-06-19 Renesas Electronics Corporation Dielectric, capacitor using dielectric, semiconductor device using dielectric, and manufacturing method of dielectric
US20090233430A1 (en) * 2008-02-19 2009-09-17 Hitachi-Kokusai Electric In. Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, and semiconductor device manufacturing system
CN101981652B (zh) * 2008-04-02 2012-08-22 富山县 紫外线发生装置以及使用该紫外线发生装置的照明装置
US9711373B2 (en) * 2008-09-22 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate dielectric for high-k metal gate devices
US20100109098A1 (en) * 2008-11-06 2010-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure including modified high-k gate dielectric and metal gate interface
JP2010153802A (ja) 2008-11-20 2010-07-08 Semiconductor Energy Lab Co Ltd 半導体装置及び半導体装置の作製方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20120054934A (ko) 2010-11-22 2012-05-31 삼성전자주식회사 고유전상수의 게이트 유전층을 갖는 반도체 소자의 제조방법들 및 그에 의해 제조된 반도체 소자들
KR20120089147A (ko) * 2011-02-01 2012-08-09 삼성전자주식회사 반도체 소자의 제조 방법
JP2012191156A (ja) * 2011-02-25 2012-10-04 Tokyo Electron Ltd 配線の形成方法および形成装置
WO2012115165A1 (ja) * 2011-02-25 2012-08-30 東京エレクトロン株式会社 膜形成方法および膜形成装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103165440A (zh) * 2011-12-09 2013-06-19 中芯国际集成电路制造(上海)有限公司 高介电常数金属栅极半导体器件制造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10041167B2 (en) * 2015-02-23 2018-08-07 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN105977621A (zh) * 2016-06-08 2016-09-28 武汉芯泰科技有限公司 一种空气天线的制备方法及通信方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10707073B2 (en) 2017-09-05 2020-07-07 Asm Ip Holding B.V. Film forming method and patterning method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102556277B1 (ko) 2018-04-23 2023-07-17 삼성디스플레이 주식회사 성막 장치 및 성막 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR20200042054A (ko) 2018-10-12 2020-04-23 삼성디스플레이 주식회사 증착 장치 및 이를 이용한 표시 장치 제조 방법
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4919077A (en) * 1986-12-27 1990-04-24 Mitsubishi Denki Kabushiki Kaisha Semiconductor producing apparatus
JP2814021B2 (ja) * 1990-07-09 1998-10-22 三菱電機株式会社 半導体基板表面の処理方法
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JP2989063B2 (ja) * 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5215588A (en) * 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JP3234091B2 (ja) * 1994-03-10 2001-12-04 株式会社日立製作所 表面処理装置
JPH07253677A (ja) * 1994-03-16 1995-10-03 Mitsubishi Electric Corp 光オゾンアッシャ,光アッシング方法,及び半導体装置の製造方法
US5454589A (en) * 1994-08-18 1995-10-03 Morton International, Inc. Inflatable air cell protective device
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
JP3500050B2 (ja) * 1997-09-08 2004-02-23 東京エレクトロン株式会社 不純物除去装置、膜形成方法及び膜形成システム
US6187133B1 (en) * 1998-05-29 2001-02-13 Applied Materials, Inc. Gas manifold for uniform gas distribution and photochemistry
US6095085A (en) * 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6274467B1 (en) * 1999-06-04 2001-08-14 International Business Machines Corporation Dual work function gate conductors with self-aligned insulating cap
CN1331199C (zh) * 2000-04-17 2007-08-08 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
US6444592B1 (en) * 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
JP4731694B2 (ja) * 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6933248B2 (en) * 2000-10-19 2005-08-23 Texas Instruments Incorporated Method for transistor gate dielectric layer with uniform nitrogen concentration
JP2002170825A (ja) * 2000-11-30 2002-06-14 Nec Corp 半導体装置及びmis型半導体装置並びにその製造方法
CN100477113C (zh) * 2001-01-22 2009-04-08 东京毅力科创株式会社 电子器件材料的制造方法
US20020146914A1 (en) * 2001-04-06 2002-10-10 Kuo-Tai Huang In-situ steam generation process for nitrided oxide
JP4369091B2 (ja) * 2001-07-18 2009-11-18 東京エレクトロン株式会社 基板処理方法
KR100641762B1 (ko) * 2001-12-07 2006-11-06 동경 엘렉트론 주식회사 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP4102072B2 (ja) * 2002-01-08 2008-06-18 株式会社東芝 半導体装置
US6706643B2 (en) * 2002-01-08 2004-03-16 Mattson Technology, Inc. UV-enhanced oxy-nitridation of semiconductor substrates
JP4559739B2 (ja) * 2002-03-29 2010-10-13 東京エレクトロン株式会社 電子デバイス用材料およびその製造方法
US6774040B2 (en) * 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
AU2003291319A1 (en) * 2002-11-08 2004-06-03 Aviza Technology, Inc. Nitridation of high-k dielectrics
US7087537B2 (en) * 2004-03-15 2006-08-08 Sharp Laboratories Of America, Inc. Method for fabricating oxide thin films
US7071122B2 (en) * 2003-12-10 2006-07-04 International Business Machines Corporation Field effect transistor with etched-back gate dielectric
JP4919586B2 (ja) * 2004-06-14 2012-04-18 富士通セミコンダクター株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US20060228898A1 (en) 2006-10-12
KR20080002908A (ko) 2008-01-04
TW200717651A (en) 2007-05-01
CN101151717A (zh) 2008-03-26
WO2006107417A2 (en) 2006-10-12
JP2008537848A (ja) 2008-09-25
WO2006107417A3 (en) 2007-04-12

Similar Documents

Publication Publication Date Title
TWI326897B (en) Method for manufacturing semiconductor devices
TWI328834B (en) Low temperature epitaxial growth of silicon-containing films using uv radiation
TWI463566B (zh) 低溫氧化矽轉換
JP4708426B2 (ja) 半導体基板を処理する方法
TW432493B (en) Inductively coupled plasma CVD
JP2007088454A (ja) 制御可能な空間的変化を有する層を形成する方法及びシステム
TW201126010A (en) Curing non-carbon flowable CVD films
TW201231711A (en) Amine curing silicon-nitride-hydride films
TW201027623A (en) Method for improving process control and film conformality of PECVD films
TW201124553A (en) Oxygen-doping for non-carbon radical-component CVD films
WO2007040718A2 (en) Multi-source method and system for forming an oxide layer
TW200829714A (en) Controlled composition using plasma-enhanced atomic layer deposition
TW201135801A (en) Plasma process apparatus
TW200832551A (en) Film formation method and apparatus for semiconductor process
TW200830942A (en) Contamination reducing liner for inductively coupled chamber
TW200945443A (en) Methods for oxidation of a semiconductor device
JP6025735B2 (ja) マイクロ波プラズマを用いる誘電膜堆積方法
US20220275510A1 (en) Thermal atomic layer deposition of silicon-containing films
JP2011068999A (ja) パルスrfプラズマを用いたteos酸化物の堆積
JP2009158782A (ja) 絶縁膜の形成方法
JP4995807B2 (ja) 酸窒化層を形成する方法及びシステム
US20090246973A1 (en) Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
TWI377619B (en) Low-temperature dielectric formation for devices with strained germanium-containing channels
JP6963264B2 (ja) 窒化膜成膜方法
US7517814B2 (en) Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees