CN100477113C - 电子器件材料的制造方法 - Google Patents

电子器件材料的制造方法 Download PDF

Info

Publication number
CN100477113C
CN100477113C CNB028039912A CN02803991A CN100477113C CN 100477113 C CN100477113 C CN 100477113C CN B028039912 A CNB028039912 A CN B028039912A CN 02803991 A CN02803991 A CN 02803991A CN 100477113 C CN100477113 C CN 100477113C
Authority
CN
China
Prior art keywords
film
oxide
plasma
gas
electronic device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028039912A
Other languages
English (en)
Other versions
CN1860596A (zh
Inventor
菅原卓也
中西敏雄
尾﨑成则
松山征嗣
村川惠美
多田吉秀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1860596A publication Critical patent/CN1860596A/zh
Application granted granted Critical
Publication of CN100477113C publication Critical patent/CN100477113C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/34Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in more than one step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si

Abstract

一种采用SiO2膜及SiON膜作为具有极薄(例如2.5nm以下)膜厚的绝缘膜、采用多晶硅、非晶硅、SiGe作为电极的具有良好电气特性的电子器件(例如高性能MOS型半导体器件)结构的制造方法。在包含氧以及稀有气体的处理气体的存在下,通过由平面天线部件SPA在使以Si为主要成分的晶片W上照射微波,形成包含氧以及稀有气体的等离子体(或者包含氮以及稀有气体的等离子体、或者包含氮、稀有气体以及氢的等离子体)。利用此等离子体在所述晶片表面形成氧化膜(或者氮氧化膜),根据需要形成多晶硅、非晶硅、SiGe的电极并形成电子器件结构。

Description

电子器件材料的制造方法
技术领域
本发明涉及可适用于制造电子器件材料的方法。本发明的电子器件材料的制造方法例如是可用于形成半导体或者半导体器件(例如具有MOS型半导体结构的器件)材料的适宜的方法。
背景技术
本发明的制造方法一般可以广泛适用于制造半导体或者半导体器件、液晶器件等的电子器件材料,因此为便于说明起见,以半导体器件的背景技术为例进行叙述。
近年来,伴随着半导体器件的微细化,对于薄而优良的氧化硅膜(SiO2膜)的需求显著增大。例如,在作为构成半导体器件最普遍的MOS型半导体结构中,遵从所谓的按比例缩小规则(scaling rule),对极薄(例如厚度为2.5nm以下左右)且优质的栅极绝缘膜(SiO2膜)的需求极大。
一直以来,作为这样的栅极绝缘膜材料,在工业上使用一种氧化硅膜(SiO2膜),这种氧化硅膜是利用850℃~1000℃左右的高温加热炉并直接氧化硅衬底而获得的。
但是,在使这样的SiO2膜只是变薄到2.5nm以下的情况下,流过此栅极绝缘膜的漏电流(栅极漏电流)就变大,从而产生电力消耗增大或器件特性加速变差等问题。
此外,在使用现有的薄的栅极绝缘膜时,在形成栅电极时在该栅极中包含的硼穿透SiO2膜,到达作为栅极绝缘膜衬底的硅衬底上,产生使半导体器件特性恶化的问题。作为解决这种问题的一种方法,研究使用氮氧化膜(SiON膜)作为栅极绝缘膜材料。
但是,若直接而且简单地通过热氮氧化法形成这种SiON膜,则在与硅衬底间的界面上含有大量的氮,难以避免器件特性变差的倾向。此外,在包括热氧化膜和通过CVD(化学气相淀积法)形成的SiN膜的SiO2/SiN叠层结构中,在SiO2/SiN界面上发生载流子缺陷,存在使器件特性变差的倾向。为此,在这样的SiON膜形成中,考虑有希望使用等离子体氮化SiO2膜的方法。这是因为等离子体氮化一般容易提供界面能级小而且在氧化膜表面具有高含氮率(几个百分点)的高品质的栅极氮氧化膜。另外,在使用等离子体的情况下,有在低温下容易进行氮化的优点。
由于通过加热氮化SiO2膜,通常需要1000℃以上的高温,因此通过这种热工序而使注入到硅衬底中的掺杂剂的扩散不同,存在由此引起的器件特性变差的倾向(这种方法已由日本专利特开昭55-134937号公报和特开昭59-4059号公报等公开)。
这样,虽然在等离子体的使用上有各种优点,但是另一方面,在使用等离子体进行氮化的情况下,有可能发生等离子体损坏,器件特性变差的现象。
发明内容
本发明的目的是提供能够消除上述现有技术中存在的问题的电子器件材料的制造方法。
即,本发明的另一个目的在于,提供能够制造具有极薄(例如膜厚2.5nm以下)而且优良的氧化膜以及/或氮氧化膜的电子器件结构的方法。
本发明的另一个目的在于,提供能够形成具有极薄(例如膜厚2.5nm以下)而且高品质的栅极氧化膜以及/或氮氧化膜的MOS型半导体结构的电子器件材料的制造方法。
本发明的电子器件材料的制造方法,其特征在于,在至少包含氧以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射而产生的等离子体,在以Si为主要成分的被处理基体的表面上形成衬底氧化膜(SiO2膜)。
根据本发明所提供的电子器件材料的制造方法,其特征在于,还包括:
在至少包含氧以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射而产生的等离子体,在以Si作为主要成分的被处理基体的表面上形成衬底氧化膜(SiO2膜)的工序;以及
在至少包含N2以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射而产生的氮化等离子体,氮化所述衬底SiO2膜表面的工序。
根据本发明所提供的电子器件材料的制造方法,其特征在于,还包括:
在至少包含氧以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射而产生的等离子体,在以Si作为主要成分的被处理基体的表面上形成衬底氧化膜(SiO2膜)的工序;
在至少包含N2以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射而产生的氮化等离子体,氮化所述衬底SiO2膜表面的工序;以及
将具有所述SiO2膜或表面氮化的衬底SiO2膜(SiON膜)的被处理基体在层形成气体的存在下加热,在所述SiO2膜或SiON膜上形成电极层的工序。
附图说明
图1是表示使用本发明的电子器件材料的制造方法的、可以制造的半导体器件的一个例子的垂直剖面示意图。
图2是表示为实施本发明的电子器件材料的制造方法的半导体制造装置的一个例子的平面示意图。
图3是表示可用于本发明的电子器件材料的制造方法中的缝隙平面天线(Slit Plane Antenna以下简记为“SPA”)等离子体处理单元的一个例子的垂直剖面示意图。
图4是表示可用于本发明的电子器件材料的制造装置中的SPA的一个例子的平面示意图。
图5是表示可用于本发明的电子器件材料的制造方法中的加热反应炉单元的一个例子的垂直剖面示意图。
图6是表示本发明的制造方法中的各工序的一个例子的流程图;
图7是表示使用本发明的方法的膜形成的一个例子的剖面示意图。
图8是表示使用本发明的方法而得到的MOS半导体结构的泄漏特性的图形。
图9是表示使用本发明的方法而得到的栅极漏电流特性的图形。
图10是表示使用本发明的方法而得到的氮氧化膜的SIMS分析结果的图形。
本发明的最佳实施方式
下面根据需要参考附图详细说明本发明。下面的说明中表示量比的“部分”以及“%”只要事先不特别说明,就作为质量标准。
(氧化膜的形成)
在适于本发明的一个实施例中,在至少包含O2以及稀有气体的处理气体(或者处理气体气氛;下同)的存在下,可以采用通过具有多个缝隙的平面天线部件的微波照射而产生的等离子体,在以Si为主要成分的被处理基体的表面上形成氧化膜(SiO2膜)。
本发明可以使用的被处理基体,虽然并不只是特别限定以Si作为主要成分,但是例如也可以适合使用硅(单晶硅等)、玻璃等公知的、用于电子器件的基体。
(处理气体)
在本发明中,当氧化膜形成之时,处理气体中至少包含O2以及稀有气体。不特别限定此时可以使用的稀有气体,可以从公知的稀有气体(或者其两种以上的组合)中适当选择来使用。从膜质量这点来讲,可以适合使用氪、氩、或者氦气。
(氧化膜的形成条件)
在将本发明用于形成氧化膜的实施例中,从所应形成的氧化膜的特性方面来讲,可以适当地使用下述条件。
O2:5~500sccm,更好是50~500sccm,
稀有气体(例如Kr、Ar、或者He):500~3000sccm,更好是500~2000sccm,最好是1000~2000sccm,
温度:室温(25℃)~700℃,更好是200~700℃,最好是200~500℃,
压力:20~5000mTorr,更好是500~3000mTorr,最好是1000~2000mTorr,
微波:0.5~5W/cm2,更好是0.5~4W/cm2
(适合的条件例)
在本发明的制造方法中,从所应形成的氧化膜的特性方面来讲,可以举出将下述各条件作为适合的例子。
处理气体的适合例:含有流量50~500sccm的O2、以及流量500~2000sccm的氪、氩、或者氦的气体。
SiO2膜形成时的温度的适合例:举温度为300~700℃的例子。
作为SiO2膜形成时的压力的适合例,举压力为2.7~270Pa(20~2000mTorr)的例子。
作为SiO2膜形成时的等离子体的适合例,是在1~4W/cm2的输出下而形成的等离子体。
(SiO2氧化膜的氮化)
在本发明中,根据需要,可以采用通过平面天线部件的微波照射而产生的氮化等离子体,适于氮化SiO2氧化膜。此时不特别限定所应氮化的SiO2氧化膜,但是从膜质、生产性等方面来讲,在含有O2以及稀有气体的处理气体的存在下,采用通过平面天线部件的微波照射而产生的等离子体,最好是形成在以Si为主要成分的被处理基体的表面上的衬底氧化膜(SiO2膜)。
亦即,在适于本发明的其它实施例中,在含有O2以及稀有气体的处理气体的存在下,采用通过平面天线部件的微波照射而产生的等离子体,在以Si为主要成分的被处理基体的表面上形成衬底氧化膜(SiO2膜),接着,能在至少包含N2和稀有气体的处理气体的存在下,采用通过平面天线部件的微波照射而产生的等离子体,氮化所述衬底SiO2膜的表面。
(处理气体)
在本发明所述氮化SiO2膜的实施例中,处理气体中至少包含N2和稀有气体。此时并不特别限定可以使用的稀有气体,可以从公知的稀有气体(或者其两种以上的组合)中适当地选择使用。对膜品质而言可以适合使用氪、氩或氦气。
(氧化膜的氮化条件)
在将本发明用于形成氧化膜的实施例中,从所应形成表面氮化的氧化膜的特性这点来讲,可以适合使用下述氮化条件。
N2:2~500sccm,更好是4~200sccm,
稀有气体(例如Kr、Ar、或者He):200~2000sccm,更好是500~2000sccm,最好是1000~2000sccm,
H2:1~100sccm,更好是2~50sccm,最好是5~30sccm,
温度:室温(25℃)~700℃,更好是200~500℃
压力:10~3000mTorr,更好是20~1000mTorr,最好是50~1000mTorr,
微波:0.5~4W/cm2,更好是0.5~3W/cm2
(适合条件的例子)
在本发明的制造方法中,从所应形成的表面氮化的氧化膜的特性这点来讲,可以举出下述条件作为适合的例子。
SiO2膜的氮化时的处理气体的适合例:含有流量4~200sccm的N2以及流量是500~2000sccm氪、氩或氦,或者,流量4~200sccm的N2、流量是500~2000sccm氪、氩或氦,以及流量为2~30sccm的H2的气体。
SiO2膜的氮化时温度的适合例:举室温~700℃的温度的例子。
作为SiO2膜的氮化时压力的适合例,举2.7~135Pa(20~1000mTorr)的例子。
作为SiO2膜的氮化时的等离子体的适合例,是在0.5~3W/cm2的输出下而形成的等离子体。
(电极层形成的实施例)
在本发明中,根据需要,可以在SiO2膜或者SiON膜上形成电极层。作为这种电极层,从器件特性这点来讲,可以适于使用由多晶硅或非晶硅或SiGe构成的电极层。此时使用的衬底的SiO2膜或者SiON膜虽然并不特别限定,但是从器件特性、生产性等方面来看,最好是在至少包含O2以及稀有气体的处理气体的存在下,采用通过平面天线部件的微波照射而产生的等离子体,在以Si为主要成分的被处理基体的表面上形成衬底氧化膜(SiO2膜);或者,在至少包含N2以及稀有气体的处理气体的存在下,采用通过平面天线部件的微波照射而产生的氮化等离子体,而形成的SiON膜。
亦即,在本发明的一个适合的实施例中,在至少包含O2以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射而产生的等离子体,在以Si为主要成分的被处理基体的表面上形成衬底氧化膜(SiO2膜);
在至少包含N2以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射而产生的氮化等离子体,氮化所述衬底SiO2膜表面;
把具有上述SiO2膜或者表面氮化的衬底SiO2膜(SiON膜)的被处理基体在层形成气体的存在下加热,可以在所述SiO2膜或者SiON膜上形成电极层(例如由多晶硅或非晶硅或SiGe构成的电极层)。
(电极形成气体)
在本发明中,不特别限定可使用的电极形成气体,根据所应形成的电极层的材质,可以适当选择使用公知的电极形成气体中的任何一种或者其两种以上的组合。
在所应形成的电极由多晶硅形成的情况下,从器件特性和生产性等方面来讲,所述电极形成气体最好是SiH4。此时,适宜的电极形成条件如下:
压力:20.0~40Pa(150~300mTorr),更好是26~33.3Pa(200~250mTorr),
温度:570~650℃,更好是600~630℃。
在所应形成的电极由非晶硅构成的情况下,从器件特性和生产性等方面来讲,所述电极形成气体最好是SiH4。此时,适宜的电极形成条件如下:
压力:20.0~66.7Pa(150~500mTorr)
温度:520~570℃。
在所应形成的电极由SiGe构成的情况下,从器件特性和生产性等方面来讲,所述电极形成气体最好是GeH4/SiH4。此时,适宜的电极形成条件如下:
气体组成:GeH4/SiH4=10/90~60/40%的混合气体,
压力:20~60Pa
温度:460~560℃。
(平面天线部件)
在本发明中,其特征是,通过具有多个缝隙的平面天线部件的微波照射形成电子能级低且密度高的等离子体,使用该等离子体进行所述被处理基体表面的氧化(根据需要可进行氮化处理)。因此可以进行等离子体损坏小且在低温下反应性高的处理。
有关这种具有多个缝隙的平面天线部件、并且具有产生电子能级低、等离子体损坏小、另外密度高的等离子体的能力的微波等离子体装置的详情可以参考文献(Ultra Clean technology Vol.10 Supplement1,p.32,1988,Published by Ultra Clean Society)。
若采用这样的新的等离子体装置,由于能容易地得到电子能级在1.5eV以下,等离子体壳层电压也在几V以下的等离子体,因此,对于现有的等离子体(等离子体停止电压50V左右)可以大幅度降低等离子体损伤。这种具有平面天线的新等离子体装置,因为具有在室温~700℃程度的温度下可以供给高密度原子团的能力,因此认为可以抑制加热引起的器件特性的变差,并且,即使在低温下也可以进行具有高反应性的处理。
对此,在现有技术中,即使在使用等离子体,也未能获得具有极薄膜厚且优质的氧化膜和氮氧化膜(例如具有用作下一代MOS型半导体结构要求标准的各种特性的氧化膜和氮氧化膜)。例如,作为用于下一代的MOS型半导体结构所要求的是具有膜厚2.5nm以下的氧化膜和氮氧化膜的MOS型半导体结构。此时,从器件特性这点来讲,可以认为最好使用多晶硅或非晶硅或SiGe等作为栅电极的MOS型半导体结构。但是,未在现有技术中发现制造具有极薄膜厚且优质的氧化膜和氮氧化膜的半导体结构的方法。
(适合的等离子体)
在本发明中,可适于使用的等离子体的特性如下:
电子能级:<2eV
密度:1011~1013
等离子体密度的均匀性:±3%以内。
根据上述的本发明的方法,可以形成膜厚度薄且优质的氧化膜以及/或氮氧化膜。因而,通过在该氧化膜以及/或氮氧化膜上形成其它层(例如电极层),就容易地形成特性优良的半导体器件的结构。
根据本发明的处理,特别是为了可以形成极薄膜厚(例如膜厚2.5nm以下)的氧化膜和/或氮氧化膜,可以例如通过在此氧化膜以及/或氮氧化膜上使用多晶硅或非晶硅或SiGe等作为栅电极,形成高性能的MOS型半导体结构。
(氧化膜的适合的特性)
使用本发明,可以容易地制造具有下述适合的特性的氧化膜。
物理膜厚:0.8mm~任意
泄漏特性:和Dry Ox比较,同等~降低1个数量级
膜均匀性:±6%以内
(氮氧化膜的适合的特性)
根据本发明,可以容易地制造具有下述适合的特性的氮氧化膜。
表面氮浓度:~20%(参考图10)
图10表示对实施SPA氮化的氧化膜的SIMS分析的结果。对15A的衬底氧化膜状进行8秒、25秒的氮化处理。在图示表面含有高浓度的氮,可以避免由于在界面上混入氮所引起的器件特性变差,从而进行氮化。
(MOS半导体结构的适合的特性)
本发明的方法可能使用的范围虽然不特别限定,但是本发明可以形成的极薄且优良的氧化膜以及/或氮氧化膜可以特别适于作为半导体器件的绝缘膜(尤其是MOS半导体结构的栅极绝缘膜)来使用。
根据本发明,可以容易地制造具有下述适合的特性的MOS半导体结构。还有,在评价本发明所形成的氧化膜以及/或氮氧化膜的特性时,例如形成文献(应用物理第69卷第9号(2000年)PP 1049~1059)中所记载的标准的MOS半导体结构,通过评价该MOS的特性,可以代替对所述氧化膜以及/或氮氧化膜自身的特性评价。这是因为在这样标准的MOS结构中,构成该结构的氧化膜以及/或氮氧化膜的特性可以对MOS特性提供很大的影响。
电气膜厚(换算膜厚)1.0~2.5nm
泄漏特性  与Dry Ox比较降低半个数量级~1个数量级
膜厚均匀性±2%以内
(制造装置的一个实施例)
以下说明本发明的制造方法的一个适合的实施例。首先,就由本发明的电子器件材料的制造方法可以制造的半导体器件结构的一个例子,参考图1说明带有MOS结构的半导体器件,这种MOS结构具有作为绝缘膜的栅极绝缘膜。
参考图1A,在图1A中,参考标号1是硅衬底,11是场氧化膜,2是栅极绝缘膜,13是栅电极。如上所述,根据本发明的制造方法可以形成极薄且优质的栅极绝缘膜2。如图1B所示,此栅极绝缘膜2由在与硅衬底1的界面上形成的高品质绝缘膜构成。例如由2.5nm左右厚的氧化膜2构成。
在本例中,这种高品质的氧化膜2最好由在含有O2以及稀有气体的处理气体的存在下,在以Si为主要成分的被处理基体上通过具有多个缝隙的平面天线部件照射微波而形成等离子体、而使用该等离子体在所述被处理基体表面形成的氧化硅膜(以下称“SiO2膜”)来构成。在使用这样的SiO2膜时,如下面所述,具有相互间界面特性(例如,界面能级)良好、而且作为MOS结构时容易得到良好的栅极泄漏特性的特征。
在此氧化硅膜2的表面,根据需要也可以进行氮化处理。在此氧化硅膜2的经氮化处理过的表面上进一步形成以硅(多晶硅或者非晶硅)作为主成分的栅电极13。
(制造方法的一个实施例)
下面说明制造此氧化硅膜2、氮化处理表面2a、进而在其上配置栅电极13的电子器件材料的制造方法。
图2是表示为实施本发明的电子器件材料的制造方法的半导体制造装置30的整体结构一个例子的示意图(平面示意图)。
如图2所示,在此半导体制造装置30的几乎正中央,设置有用于运送晶片W(图3)的搬运室31,在围绕此搬运室31的周围,设置用于对晶片W实行各种处理的等离子体处理单元32、33、用于进行各处理室之间的连通/隔断操作的两台转动锁定单元34和35、用于进行各种加热操作的加热单元36、以及用于对晶片W执行各种加热处理的加热反应炉47。还有,加热反应炉47也可以和所述半导体制造装置30分开独立设置。
在转动锁定单元34和35的旁边分别配置用于进行各种预冷或者冷却操作的预冷单元45、冷却单元46。
在搬运室31的内部,设置有搬运臂37以及38,可以在所述各单元32~36之间运送晶片W(图3)。
在转动锁定单元34和35的图中前面的一侧设置有转动臂41和42。这些转动臂41和42还可以与在其前面的一侧设置的晶片盒载物台43上安装的4台晶片盒44之间装卸晶片W。
而且,作为图2中的等离子体处理单元32、33,可以并列安装两台同型号的等离子体处理单元。
进而,这些等离子体处理单元32以及单元33既可以一起和单室型CVD处理单元进行交换,也可以在等离子体32和33的位置安装一台或者两台单室型CVD处理单元。
在等离子体处理有两台的情况下,例如用处理单元32形成SiO2膜后,也可以采用在处理单元33中进行SiO2膜的表面氮化的方法,此外也可以用处理单元32以及33并列进行SiO2膜的形成与SiO2膜的表面氮化。或者也可以用别的装置进行SiO2膜的形成后,再用处理单元32以及33并列进行表面氮化。
(栅极绝缘膜成膜的一个实施例)
图3可用于栅极绝缘膜2的成膜的等离子体处理单元32(33)的垂直方向的剖面示意图。
参考图3,参考标号50例如是用铝制成的真空容器。在此真空容器50的上面,形成比衬底(例如晶片W)更大的开口部分51,为了塞住此开口部分51,设置例如由石英或氮化铝等电介质构成的扁平的圆筒形状的顶板54。位于此顶板54的下面的真空容器50上侧的侧壁上,例如沿其周围方向均匀配置的16个位置处设置气体供给管72,通过此气体供给管72把含有选自O2或稀有气体、N2以及H2等中的一种以上的处理气体,向真空容器50的等离子体区域P附近均匀地提供。
在顶板54的外侧,设置与通过具有多个缝隙的平面天线部件、例如通过铜板制成的缝隙平面天线(Slit Plane Antenna,SPA)60构成的高频电源部分、例如发生2.45GHz微波的微波电源部分61连接的波导路径63。此波导路径63由下缘连接SPA 60的扁平圆形波导管63A、一端与此圆形波导管63A的上面连接的圆筒形波导管63B、与该圆筒形波导管63B的上面连接的同轴波导变换器63C、一侧与此同轴波导变换器63C的侧面成直角连接的、另一侧与微波电源部分61连接的矩形波导管63D组合构成。
因此,在本发明中,包括UHF和微波,称为高频区域。亦即由高频电源部分供给的高频电能包含300MHz以上的UHF和1GHz以上的微波,对于300MHz以上2500MHz以下的,将通过这些高频电能所发生的等离子体称为高频等离子体。
在上述圆筒形波导管63B的内部,由导电材料制成的轴部62的一端与SPA 60几近中央处连接、另一端同轴设置连接在圆筒形波导管63B的上面,由此,波导管63B就构成同轴波导管。
另外,在真空容器50内,设置与顶板54对向的晶片W的承载台52。在此承载台52内安装图中未示出的调温部分,由此该承载台52起到加热板的作用。此外,在真空容器50的衬底连接排气管53的一端,此排气管53的另一端连接真空泵55。
(SPA的一个实施例)
图4表示可使用于本发明的电子器件材料的制造装置中的SPA 60的一个例子的平面示意图。
如图4所示,此SPA 60,其中,多个同心圆状的缝隙60a、60a、...形成在表面上。各缝隙60a是近似矩形贯通的槽,邻接的缝隙相互正交设置,形成近似字母“T”的字样。缝隙60a的长度和排列间隔对应微波电源部分61发生的微波的波长来确定。
(加热反应炉的一个实施例)
图5表示可使用于本发明的电子器件材料的制造装置中的加热反应炉的一个例子的垂直方向的剖面示意图。
如图5所示,加热反应炉47的处理室82,例如用铝等形成可以密封的结构。处理室82内装备加热机构和冷却机构,但是在图5中省略。
如图5所示,在处理室82中,导入气体的气体导入管83连接在上部中央处,处理室82内和气体导入管83内连通。此外,气体导入管83连接气体供给源84。这样,从气体供给源84提供气体给气体导入管83,通过气体导入管83将气体导入处理室82内。作为这种气体,可以使用栅电极形成的原料、例如硅烷等各种气体(电极形成气体),根据需要,也可以使用惰性气体作为载流气体。
在处理室82的下部,连接排放处理室82内气体的气体排气管85,气体排气管85连接真空泵等构成的排气装置(图中未示出)。通过这种排气装置,处理室82内的气体由气体排气管85排放,从而在处理室82内被设定为预期的压力。
此外,在处理室82的下部,设置用于承载晶片W的承载台87。
在图5所示的实施例中,使用图中未示出的、和晶片W大约同样大小直径的静电卡盘把晶片W安放到承载台87上。承载台87这样构成,在内部设置图中未示出的热源部件,可以将在承载台87上放置的晶片W的处理面调节到预期的温度。
承载台87根据需要可以做成能使安置的晶片W转动的结构。
图5中,在承载台87的右侧的处理室82的壁面上设置用于装卸晶片W的开口部分82a,此开口部分82a的开闭通过在图中的上下方向上移动的闸阀98来实现。图5中,在闸阀98的进一步右侧相邻设置运送晶片W的搬运臂(图中未示出),搬运臂通过开口部分82a进出处理室82内,在承载台87上放置晶片W,把处理后的晶片W从处理室82搬出。
在承载台87的上方设置作为喷淋部件的喷头88。喷头88例如用铝等形成,它的形成使得承载台87和气体导入管83之间的空间分开。
喷头88的形成使得,气体导入管83的气体出口83a位于其上部中央处,与在喷头88下部设置的气体供给孔89相通,把气体导入处理室82内。
(绝缘膜形成的实施例)
下面说明使用所述装置在晶片W上形成由栅极绝缘膜2构成的绝缘膜的方法的一个适合的例子。
图6是表示在本发明的方法中各工序流程的一个例子的流程图。
参考图6,首先,用前面的工序在晶片W的表面上形成场氧化膜11(图1A)。
接着打开在等离子体处理单元32(图2)内的真空容器50的侧壁上设置的闸阀(图中未示出),使用搬运臂37、38,把在所述硅衬底1表面上形成的场氧化膜11的晶片W安置在承载台52(图3)上。
接着在关闭闸阀而使内部密闭之后,使用真空泵55通过排气管53排气,使内部气氛抽真空到规定的真空度,维持设定的压力。另一方面,使用微波电源部分61发生例如1.80GHz(2200W)的微波,通过波导路径引导该微波通过SPA 60和顶板54导入到真空容器50内,由此在真空容器50内的上部一侧的等离子体区域P中发生高频等离子体。
这里,微波以矩形模式在矩形波导管63D内传输,在同轴波导变换器63C内从矩形模式变换成圆形模式,以圆形模式在圆筒形同轴波导管63B内传输,进而在圆形波导管63A内以展开的状态继续传输,通过SPA 60的缝隙60a被辐射,透过顶板54导入到真空容器50。此时就发生由于使用微波的高密度的等离子体,此外因为从SPA 60的多个缝隙60a辐射微波,所以该等离子体成为高密度。
接着,调节承载台52的温度,加热晶片W例如到400℃,同时通过气体供给管72把作为用于形成氧化膜的处理气体的氪或氩等稀有气体和O2气体分别以1000sccm、20sccm的流量导入,实施第一工序(氧化膜的形成)。
在此工序中,导入的处理气体在等离子体处理单元32内由于等离子体流而被活性化(等离子体化),如图7A的剖面示意图所示,通过此等离子体氧化硅衬底1的表面,形成氧化膜(SiO2膜)2。这样,进行例如40秒的氧化处理,就可以形成2.5nm厚的用于栅极氧化膜或者栅极氮氧化膜的衬底氧化膜(衬底SiO2膜)2。
接着,打开闸阀(图中未示出),使搬运臂37、38(图2)进入真空容器50内,收取承载台52上的晶片W。此搬运臂37、38在把晶片W从等离子体处理单元32中取出后,放置在邻接的等离子体处理单元33内的安置台上(步骤2)。此外,根据用途,也存在不氮化栅极氧化膜而移动到热反应炉47的情况。
(含氮层形成的实施例)
接着,在此等离子体处理单元33内,在晶片W上进行表面氮化处理,在先前形成的衬底氧化膜(衬底SiO2)2的表面上形成含氮层2a(图7B)。
在这个表面氮化处理时,例如在真空容器50内,晶片温度例如400℃,处理压力例如在66.7Pa(500mTorr)的状态下,氩气和N2气从气体导入管分别以1000sccm、20sccm的流量导入容器50内。
其另一方面,使用微波电源部分61发生例如2W/cm2的微波。使用波导路径引导,将此微波通过SPA 60b以及顶板54导入真空容器50内,由此在真空容器50内的上部一侧的区域P中发生高频等离子体。
在此工序(表面氮化)中,被导入的气体等离子体化,形成氮原子团。用此氮原子团在晶片W的上表面上的SiO2膜来发生反应,以比较短的时间来氮化SiO2膜表面。这样就如图7B所示,在晶片W上的衬底氧化膜(衬底SiO2膜)2的表面上形成含氮层2a。
由于进行例如20秒的氮化处理,就可以形成换算膜厚为2nm左右厚度的栅极氮氧化膜(SiON膜)。
(栅电极形成的实施例)
接着在晶片W上的SiO2膜上或者在对衬底SiO2膜进行氮化处理过的SiON膜上形成栅电极13(图1A)。为形成此栅电极13,把形成栅极氧化膜或者栅极氮氧化膜的晶片W分别从等离子体处理单元32或者33内取出,一旦取出到搬运室31(图2)一侧,然后就放进加热反应炉47内(步骤4)。在加热反应炉47内以规定的处理条件下加热晶片W,在栅极氧化膜或者栅极氮氧化膜上形成规定的栅电极13。
此时,可以根据形成的栅电极13的种类选择处理条件。
亦即,在形成由多晶硅生成的栅电极13的情况下,作为处理气体(电极形成气体)而使用SiH4,在20.0~33.3Pa(150~250mTorr)的压力、570~630℃的温度条件下进行处理。
此外,在形成由非晶硅生成的栅电极13的场合,作为处理气体(电极形成气体)使用SiH4,在20.0~66.7Pa(150~500mTorr)的压力、520~570℃的温度条件下处理。
进而,在形成由SiGe构成的栅电极13的情况下,使用GeH4/SiH4=10/90~60/40%的混合气体,在20~60Pa的压力、460~560℃的温度条件下进行处理。
(氧化膜的品质)
在上述第一工序中,在形成用于栅极氧化膜或者栅极氮氧化膜的衬底氧化膜时,因为处理气体的存在,在以Si为主要成分的晶片W上,通过具有多个缝隙的平面天线部件照射微波而形成含氧(O2)以及稀有气体的等离子体,由于使用此等离子体在所述被处理基体表面上形成氧化膜,因此可以进行膜质好及膜质的顺利控制。
第一工序中的氧化膜的品质很高,如图8的图形所示。
图8表示涉及通过上述实施例的电子器件材料的制造方法在硅晶片W上形成的MOS型半导体结构的泄漏特性。此图形的纵轴为漏电流的值,横轴为电气膜厚(换算膜厚)。
图8中,实线表示的图形①,作为参考,表示是使用现有的热氧化法(Dry热氧化法)而形成的热氧化膜(Dry Ox)的泄漏特性,图形②表示在O2和作为稀有气体的氩的存在下使用SPA进行等离子体处理得到的氧化膜(SPAOx)的泄漏特性。
从图8的图形中明显看出,与使用现有的热氧化法形成的热氧化膜的泄漏特性①比较,通过本发明的电子器件材料的制造方法所形成的氧化膜②的泄漏值低。因而,采用根据本发明形成的氧化膜,可以实现电能低耗,获得良好的器件特性。
(高品质氧化膜的推断机理(mechanism))
如上所述,使用本发明的电子器件材料的制造方法,可以获得具有比热氧化膜品质高的、界面能级低的氧化膜(例如栅极氧化膜)。
使用所述方法形成的氧化膜的品质高的理由,就发明者所知,如以下的推断。
即,通过使用SPA用微波照射处理气体形成的等离子体,形成电子能级比较低的等离子体。因此,等离子体和被处理基体表面的偏压被抑制在比较低的值,等离子体损伤小。因此,如图8所示,可以认为形成具有良好界面特性的SiO2膜。
(高品质氮氧化膜的推断机理)
此外,在所述第二工序中,表面氮化处理而得到的氮氧化膜具有优良的品质。其理由就发明者所见,如以下的推断。
因为使用上述SPA在氧化膜表面生成的氮原子团是高密度的,因此在氧化膜表面能混入百分比单位的氮。此外,与用热生成氮原子团相比,在低温(室温左右)下也可以生成高密度的氮原子团,能抑制代表掺杂物的扩散等的热引起的器件特性的变差。还有,因为在氧化膜表面含有膜中的氮,因此不会使界面特性变差,使介电常数得到提高,同时能够发挥防止硼的穿透效应等这一性能。
(适宜的MOS特性的推断机理)
还有,通过在上述第三工序中在特定条件下加热处理形成的栅电极,MOS型半导体结构具有优良的特性。其理由就发明者所见,如以下的推断。
在本发明中,可以形成所述那样极薄且优质的栅极绝缘膜。通过组合这样的优质栅极绝缘膜(栅极氧化膜和/或栅极氮氧化膜)和在其上形成的栅电极(例如,用CVD生成的多晶硅、非晶硅、SiGe),可以实现良好的晶体管特性(例如良好的泄漏特性)。
还有,由于进行如图2所示的组合化,能够避免在栅极氧化膜以及栅极氮氧化膜形成和栅电极形成之间向大气暴露,能够使成品率和器件特性得到更大的提高。
实施例
以下,通过实施例更加具体地说明本发明。
通过本发明的电子器件材料的制造方法,在进行了元素分离形成的n型硅衬底上使用图2所示的器件并使用SPA等离子体在图2所示32的处理单元中形成1.8nm的衬底SiO2膜。膜厚总计为1.8nm(氧化膜的换算膜厚)。关于衬底SiO2膜的形成条件为,O2/Ar2=200sccm/2000sccm、压力为2000mTorr、微波功率3W/cm2、温度400℃。
衬底SiO2的氮化条件为,N2/Ar流量=40sccm/1000sccm、压力为7Pa(50mTorr)、微波功率2W/cm2、温度400℃。使氮化时间按10秒、20秒、40秒变化。生产率达到每腔25只/小时,可以认为,这可以充分适用于工业生产。
接着栅极绝缘膜形成,形成P型多晶硅栅电极并由CV特性求出换算膜厚。换算膜厚减少到1.4nm左右,膜厚的均匀性也可以得到在3西格玛下4%的良好结果。
进一步,测定栅极漏电流特性。图9的纵轴为漏电流特性,横轴为电气膜厚(换算膜厚)。直线表示的图形①表示标准的热氧化膜的泄漏特性,点表示的图形②表示SPA进行氧化、氮化后的膜的泄漏特性。如图形②所示,可以看到伴随氮化时间的增加换算膜厚是减小的。此外,在氮化时间为40秒的条件下,与标准的热氧化膜比较,漏电流最多减小1个数量级左右。
如上所示,通过本发明的电子器件材料的制造方法,可以以工业上充分适用的生产率形成具有良好电气特性的高性能MOS型半导体结构。
产业上的可利用性
根据所述本发明的电子器件制造方法,在处理气体的存在下,通过具有多个缝隙的平面天线部件(所谓的SPA天线),通过照射微波在以Si为主要成分的被处理基体上,在含有硅的衬底上直接供给等离子体形成氧化膜(SiO2膜),因此可以在含有硅的衬底和其表面上形成的氧化膜(SiO2膜)之间进行适宜的界面特性控制。
进一步,根据本发明的其它实施例的电子器件制造方法,以采用所谓的SPA天线的方法在形成衬底氧化膜(SiO2膜)后,通过采用氮化处理的方法,就可以形成高品质的氮氧化膜(SiNO膜)。
更有,通过在这样形成的高品质氧化膜和/或氮氧化膜上形成电极层(例如,由多晶硅或者非晶硅或者SiGe构成的栅电极),就可以形成具有良好电气特性的半导体结构(例如MOS型半导体结构)。

Claims (9)

1.一种电子器件材料的制造方法,其特征在于,
在由O2以及稀有气体组成的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射产生的等离子体,在以Si为主要成分的被处理基体的表面形成具有2.5nm以下膜厚的氧化膜。
2.如权利要求1所述的电子器件材料的制造方法,其中,
所述氧化膜是SiO2膜。
3.如权利要求1或者2所述的电子器件材料的制造方法,其中,
所述稀有气体是选自氪、氩或氦中的一种以上的气体。
4.一种电子器件材料的制造方法,其特征在于,包括:
在由O2以及稀有气体组成的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射产生的等离子体,在以Si为主要成分的被处理基体的表面形成氧化膜的工序;以及
在至少包含N2以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射产生的氮化等离子体,氮化所述氧化膜表面的工序。
5.如权利要求4所述的电子器件材料的制造方法,其中,
所述氧化膜是SiO2膜,所述被氮化的氧化膜是SiON膜。
6.如权利要求4或者5所述的电子器件材料的制造方法,其中,
所述氧化膜的膜厚在2.5nm以下。
7.如权利要求4所述的电子器件材料的制造方法,其中,
所述稀有气体是氪、氩或者氦。
8.一种电子器件材料的制造方法,其特征在于,包括:
在由O2以及稀有气体组成的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射产生的等离子体,在以Si为主要成分的被处理基体的表面形成氧化膜的工序;
在至少包含N2以及稀有气体的处理气体的存在下,采用通过具有多个缝隙的平面天线部件的微波照射产生的氮化等离子体,氮化所述氧化膜表面的工序;以及
把具有所述表面氮化的氧化膜的被处理基体在层形成气体的存在下加热,在所述表面氮化的氧化膜上形成电极层的工序。
9.如权利要求8所述的电子器件材料的制造方法,其中,
所述氧化膜是SiO2膜,所述表面氮化的氧化膜是SiON膜。
CNB028039912A 2001-01-22 2002-01-22 电子器件材料的制造方法 Expired - Lifetime CN100477113C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP12917/2001 2001-01-22
JP2001012917 2001-01-22
PCT/JP2002/000439 WO2002058130A1 (fr) 2001-01-22 2002-01-22 Procede de production

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2008101711039A Division CN101399198A (zh) 2001-01-22 2002-01-22 电子器件材料的制造方法

Publications (2)

Publication Number Publication Date
CN1860596A CN1860596A (zh) 2006-11-08
CN100477113C true CN100477113C (zh) 2009-04-08

Family

ID=18879853

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2008101711039A Pending CN101399198A (zh) 2001-01-22 2002-01-22 电子器件材料的制造方法
CNB028039912A Expired - Lifetime CN100477113C (zh) 2001-01-22 2002-01-22 电子器件材料的制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2008101711039A Pending CN101399198A (zh) 2001-01-22 2002-01-22 电子器件材料的制造方法

Country Status (6)

Country Link
US (3) US20040142577A1 (zh)
EP (1) EP1361605A4 (zh)
JP (3) JP3916565B2 (zh)
KR (4) KR100746120B1 (zh)
CN (2) CN101399198A (zh)
WO (1) WO2002058130A1 (zh)

Families Citing this family (314)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100746120B1 (ko) * 2001-01-22 2007-08-13 동경 엘렉트론 주식회사 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법
KR100639147B1 (ko) * 2001-01-25 2006-10-31 동경 엘렉트론 주식회사 플라즈마 처리 방법
TWI225668B (en) * 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
WO2003098678A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
US7122454B2 (en) * 2002-06-12 2006-10-17 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
JP2004175927A (ja) * 2002-11-27 2004-06-24 Canon Inc 表面改質方法
KR100800639B1 (ko) * 2003-02-06 2008-02-01 동경 엘렉트론 주식회사 플라즈마 처리 방법, 반도체 기판 및 플라즈마 처리 장치
JPWO2004073073A1 (ja) * 2003-02-13 2006-06-01 東京エレクトロン株式会社 半導体装置の製造方法および半導体製造装置
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20040262701A1 (en) * 2003-06-24 2004-12-30 Texas Instruments Incorporated Nitridation process for independent control of device gate leakage and drive current
US7291568B2 (en) * 2003-08-26 2007-11-06 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
US7645481B2 (en) 2003-09-17 2010-01-12 Tokyo Electron Limited Fabrication of low dielectric constant insulating film
JP4555143B2 (ja) * 2004-05-11 2010-09-29 東京エレクトロン株式会社 基板の処理方法
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2006046634A1 (ja) * 2004-10-28 2006-05-04 Tokyo Electron Limited ゲート絶縁膜の形成方法,半導体装置及びコンピュータ記録媒体
JP4718189B2 (ja) 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
CN101053083B (zh) 2005-02-01 2011-01-12 东京毅力科创株式会社 半导体装置的制造方法和等离子体氧化处理方法
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
JP2006310736A (ja) * 2005-03-30 2006-11-09 Tokyo Electron Ltd ゲート絶縁膜の製造方法および半導体装置の製造方法
US7517814B2 (en) * 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US7501352B2 (en) * 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7820557B2 (en) 2005-03-31 2010-10-26 Tokyo Electron Limited Method for nitriding substrate and method for forming insulating film
CN101908484B (zh) 2005-04-15 2012-06-13 东京毅力科创株式会社 等离子体氮化处理方法
US8318554B2 (en) * 2005-04-28 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method of forming gate insulating film for thin film transistors using plasma oxidation
CN101194345B (zh) * 2005-06-08 2010-05-19 国立大学法人东北大学 等离子体氮化处理方法和处理装置、半导体装置制造方法
JP2007073395A (ja) * 2005-09-08 2007-03-22 Tokyo Electron Ltd マグネトロンの制御方法、マグネトロンの寿命判定方法、マイクロ波発生装置、マグネトロンの寿命判定装置、処理装置及び記憶媒体
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
US7713876B2 (en) 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US7517812B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
KR100649874B1 (ko) * 2005-12-29 2006-11-27 동부일렉트로닉스 주식회사 에스오아이 웨이퍼를 이용한 트랜지스터 제조 방법
KR100745370B1 (ko) * 2006-01-20 2007-08-02 삼성전자주식회사 반도체 디바이스의 절연막 제조방법
JP5073645B2 (ja) * 2006-02-28 2012-11-14 東京エレクトロン株式会社 プラズマ酸化処理方法および半導体装置の製造方法
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
WO2008041599A1 (fr) 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation de plasma, support de stockage, et appareil de traitement par plasma
KR101163276B1 (ko) 2006-09-29 2012-07-05 도쿄엘렉트론가부시키가이샤 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
US7910495B2 (en) 2006-09-29 2011-03-22 Tokyo Electron Limited Plasma oxidizing method, plasma processing apparatus, and storage medium
JP4864661B2 (ja) * 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
KR100850138B1 (ko) * 2006-12-26 2008-08-04 동부일렉트로닉스 주식회사 반도체 소자의 게이트 절연막 및 그 형성방법
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
KR101249611B1 (ko) * 2008-01-24 2013-04-01 도쿄엘렉트론가부시키가이샤 실리콘 산화막의 형성 방법, 기억 매체, 및 플라즈마 처리 장치
JP4593652B2 (ja) * 2008-06-06 2010-12-08 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
KR101111962B1 (ko) * 2008-10-24 2012-06-12 한국기초과학지원연구원 질소원자빔을 이용한 질화막 형성장치 및 방법
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011125705A1 (ja) * 2010-03-31 2011-10-13 東京エレクトロン株式会社 プラズマ窒化処理方法及びプラズマ窒化処理装置
US8753456B2 (en) * 2010-06-25 2014-06-17 Apple Inc. Selective nitriding on a 3D surface
JP2011204687A (ja) * 2011-05-20 2011-10-13 Tokyo Electron Ltd マグネトロンの寿命判定方法、マグネトロンの寿命判定装置及び処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011107072B8 (de) * 2011-07-12 2013-01-17 Centrotherm Thermal Solutions Gmbh & Co. Kg Verfahren zum ausbilden einer oxidschicht auf einem substrat bei tiefen temperaturen
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102293862B1 (ko) 2014-09-15 2021-08-25 삼성전자주식회사 반도체 소자의 제조 방법
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217443B2 (en) 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114684797B (zh) * 2022-03-08 2023-10-13 中国科学院过程工程研究所 一种制备纯相多壳层Si2N2O空心球形粉体的系统和方法
WO2024043908A1 (en) * 2022-08-25 2024-02-29 L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedesgeorges Claude A method for converting an existing industrial unit to produce hydrogen from ammonia

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US123456A (en) 1872-02-06 Improvement in blackboards
US5254503A (en) * 1992-06-02 1993-10-19 International Business Machines Corporation Process of making and using micro mask
EP0847079A3 (en) * 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
US6497783B1 (en) * 1997-05-22 2002-12-24 Canon Kabushiki Kaisha Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
US6255731B1 (en) * 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
JP4069966B2 (ja) * 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
JP2000130527A (ja) * 1998-10-30 2000-05-12 Nissan Motor Co Ltd Vベルト式無段変速機用プーリー及び無段変速機
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
JP2000332009A (ja) * 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
US6214681B1 (en) * 2000-01-26 2001-04-10 Advanced Micro Devices, Inc. Process for forming polysilicon/germanium thin films without germanium outgassing
KR100746120B1 (ko) * 2001-01-22 2007-08-13 동경 엘렉트론 주식회사 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법

Also Published As

Publication number Publication date
CN101399198A (zh) 2009-04-01
JP4401375B2 (ja) 2010-01-20
US20050233599A1 (en) 2005-10-20
EP1361605A1 (en) 2003-11-12
KR20070116696A (ko) 2007-12-10
CN1860596A (zh) 2006-11-08
KR20060061404A (ko) 2006-06-07
KR100994387B1 (ko) 2010-11-16
US20070224837A1 (en) 2007-09-27
KR100837707B1 (ko) 2008-06-13
KR20090053965A (ko) 2009-05-28
WO2002058130A1 (fr) 2002-07-25
JP4926219B2 (ja) 2012-05-09
US20040142577A1 (en) 2004-07-22
JPWO2002058130A1 (ja) 2004-05-27
JP2007013200A (ja) 2007-01-18
JP3916565B2 (ja) 2007-05-16
KR100746120B1 (ko) 2007-08-13
EP1361605A4 (en) 2006-02-15
KR20030070126A (ko) 2003-08-27
JP2010050462A (ja) 2010-03-04

Similar Documents

Publication Publication Date Title
CN100477113C (zh) 电子器件材料的制造方法
US20050136610A1 (en) Process for forming oxide film, apparatus for forming oxide film and material for electronic device
EP1361606B1 (en) Method of producing electronic device material
KR100943246B1 (ko) 반도체 제조방법 및 반도체 제조장치
JP4850871B2 (ja) 絶縁膜の形成方法
JP4408653B2 (ja) 基板処理方法および半導体装置の製造方法
CN101151721B (zh) 绝缘膜的制造方法和等离子体处理装置
WO2010038900A1 (ja) 酸化珪素膜、酸化珪素膜の形成方法、および、プラズマcvd装置
CN101194345B (zh) 等离子体氮化处理方法和处理装置、半导体装置制造方法
CN101356626A (zh) 金属类膜脱碳处理方法、成膜方法和半导体装置制造方法
US20050227500A1 (en) Method for producing material of electronic device
WO2010038887A1 (ja) 二酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
WO2010038888A1 (ja) 窒化酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
KR100451507B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20090408