WO2002058130A1 - Procede de production - Google Patents

Procede de production Download PDF

Info

Publication number
WO2002058130A1
WO2002058130A1 PCT/JP2002/000439 JP0200439W WO02058130A1 WO 2002058130 A1 WO2002058130 A1 WO 2002058130A1 JP 0200439 W JP0200439 W JP 0200439W WO 02058130 A1 WO02058130 A1 WO 02058130A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
gas
sio
oxide film
electronic device
Prior art date
Application number
PCT/JP2002/000439
Other languages
English (en)
French (fr)
Inventor
Takuya Sugawara
Toshio Nakanishi
Shigenori Ozaki
Seiji Matsuyama
Shigemi Murakawa
Yoshihide Tada
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to CNB028039912A priority Critical patent/CN100477113C/zh
Priority to US10/466,872 priority patent/US20040142577A1/en
Priority to KR1020037009626A priority patent/KR100837707B1/ko
Priority to EP02715873A priority patent/EP1361605A4/en
Priority to KR1020067008751A priority patent/KR100746120B1/ko
Priority to JP2002558321A priority patent/JP3916565B2/ja
Publication of WO2002058130A1 publication Critical patent/WO2002058130A1/ja
Priority to US11/153,551 priority patent/US20050233599A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/34Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in more than one step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si

Definitions

  • the present invention relates to a method that can be suitably used for producing an electronic deposition material.
  • the method for producing an electronic device material of the present invention can be suitably used, for example, for forming a semiconductor or a semiconductor device (for example, having a MOS semiconductor structure) material.
  • the manufacturing method of the present invention is generally widely applicable to the manufacture of electronic devices such as semiconductors, semiconductor devices, and liquid crystal devices, for convenience of explanation, the background art of semiconductor devices will be described below. An example will be described.
  • SiO 2 films thin and high-quality silicon oxide films
  • MOS semiconductor structure which is the most popular semiconductor device structure, according to the so-called scale glue / layer, an extremely thin (for example, about 2.5 nm or less) and high quality gate insulating film (SiO 2) is used. Needs for membranes) are becoming extremely high.
  • a silicon substrate obtained by directly oxidizing a silicon substrate using a high-temperature heating furnace at about 850 ° C. to 100 ° C. oxide film (S i 0 2 film) has been used industrially.
  • plasma nitridation generally tends to provide a high-quality gate oxynitride film having a low interface state and a high nitrogen content (several percent) on the oxide film surface.
  • plasma nitridation generally tends to provide a high-quality gate oxynitride film having a low interface state and a high nitrogen content (several percent) on the oxide film surface.
  • plasma when plasma is used, there is an advantage that nitriding at a low temperature is easy.
  • a high temperature of 100 ° C. or more is required to nitride the SiO 2 film by heating.
  • the characteristics tend to deteriorate (such methods are disclosed in Japanese Patent Application Laid-Open Nos. 55-34937 and 59-509). .
  • An object of the present invention is to provide a method for manufacturing an electronic device material that can solve the above-described problems in the related art.
  • another object of the present invention is to provide a method capable of manufacturing an electronic device structure which is extremely thin (for example, a film thickness of 2.5 nm or less) and has a high-quality oxide film and / or oxynitride film. It is in.
  • Another object of the present invention is to manufacture an electronic device material that is extremely thin (for example, a film thickness of 2.5 nm or less) and that can form a MOS semiconductor structure having a high-quality gate oxide film and Z or oxynitride film. It is to provide a method.
  • the method of manufacturing an electronic device material of the present invention, 0 to 2 and a rare gas in the presence of small rather than the process gas also contains, using plasma based on microwave irradiation via a plane ⁇ antenna member having a plurality of scan Li Tsu DOO
  • an oxide film SiO 2 film is formed on the surface of the substrate to be processed containing Si as a main component.
  • a plasma based on microphone mouth wave irradiation through a planar antenna member having a plurality of slits is used to generate Si Forming a base oxide film (SiO 2 film) on the surface of the substrate to be processed, the main component of which is:
  • the surface of the underlayer SiO 2 film is formed by using a nitriding plasma based on microphone mouth wave irradiation through a planar antenna member having a plurality of slits.
  • a method for producing an electronic deposition material comprising the steps of: According to the present invention, further in the presence of a process gas also includes a less o 2 and a rare gas, by using plasma based on microwave irradiation via a plane antenna member having a plurality of scan Li dot and S i Forming a base oxide film (SiO 2 film) on the surface of the substrate to be treated as a main component;
  • the surface of the underlayer SiO 2 film is formed using a nitriding plasma based on microwave irradiation through a planar antenna member having a plurality of slits.
  • FIG. 1 is a schematic vertical sectional view showing an example of a semiconductor device that can be manufactured by the method for manufacturing an electronic deposition material of the present invention.
  • FIG. 2 is a schematic plan view showing an example of a semiconductor manufacturing apparatus for performing the method for manufacturing an electronic deposition material according to the present invention.
  • FIG. 3 is a schematic view showing an example of a slit plane antenna (hereinafter, abbreviated as “SPA”) plasma processing unit that can be used in the method for producing an electronic device material of the present invention.
  • SPA slit plane antenna
  • FIG. 4 is a schematic plan view showing an example of an SPA that can be used in the electronic device material manufacturing apparatus of the present invention.
  • FIG. 5 shows a heating method usable in the method for producing an electronic deposition material according to the present invention.
  • FIG. 2 is a schematic vertical sectional view showing an example of a reactor unit.
  • FIG. 6 is a flowchart showing an example of each step in the production method of the present invention.
  • FIG. 7 is a schematic sectional view showing an example of film formation by the method of the present invention.
  • FIG. 8 is a graph showing the leakage characteristics of the MOS semiconductor structure obtained by the method of the present invention.
  • FIG. 9 is a graph showing good leak current characteristics obtained by the method of the present invention.
  • FIG. 10 is a graph showing the results of SIMS analysis of the oxynitride film obtained by the method of the present invention.
  • W Wafer (substrate to be processed), 60—SPA (planar antenna member), 2: oxide film, 2a: nitrogen-containing layer, 32: plasma processing unit (process chamber), 33: plasma processing unit (Process chamber), 47 ... heating reactor.
  • a processing gas containing at least O 2 and a rare gas or a processing gas atmosphere; hereinafter the same.
  • a processing gas containing at least O 2 and a rare gas or a processing gas atmosphere; hereinafter the same.
  • a processing gas atmosphere or a processing gas atmosphere
  • the substrate to be treated that can be used in the present invention is not particularly limited as long as it contains Si as a main component.
  • a known substrate for electronic deposition such as silicon (single-crystal silicon) or glass is preferably used. can do.
  • the processing gas when forming an oxide film, is at least o
  • the rare gas that can be used at this time is not particularly limited, and may be appropriately selected from known rare gases (or a combination of two or more thereof) and used. From the viewpoint of film quality, it is possible to suitably use, as a rare gas, crypton, argon, or helium.
  • the following conditions can be suitably used in view of the characteristics of the oxide film to be formed.
  • O2 5 to 500 scm, more preferably 50 to 500 scm,
  • Noble gases eg, Kr, Ar, or He: 500-300 sccm, more preferably 500-200 seem, particularly preferred 100-000 seem 2 0 0 0 sccm
  • Temperature room temperature (25 ° C) to 700 ° C, more preferably 200 ° to 700 ° C, particularly preferably 200 ° to 500 ° C
  • Pressure 200 to 500 mTorr, more preferably 500 to 300 mTorr, particularly preferably 100 to 200 mTorr
  • Microwave 0.5 to 5 W / cm 2 , more preferably 0.5-4 W / cm 2
  • a preferred example of process gas O 2 at a flow rate of 500-500 sccm, and a gas containing 500,000-200 sccm of crypt, argon or helium. .
  • a preferred example of the temperature at the time of forming the SiO 2 film a temperature of 300 to 700 ° C.
  • a preferred example of the pressure for forming the SiO 2 film is 2.7 to 27 Pa (20 to 200 mTorr).
  • a plasma formed with an output of 1 to 4 WZ cm 2 As a preferable example of the plasma at the time of forming the SiO 2 film, a plasma formed with an output of 1 to 4 WZ cm 2 .
  • the SiO 2 oxide film can be suitably nitrided by using a nitriding plasma based on the irradiation of a microwave through a planar antenna member. S i O to be nitrided at this time
  • the oxide film is not particularly limited.However, from the viewpoint of film quality and productivity, using plasma based on irradiation of a microwave by a microwave through a planar antenna member in the presence of o 2 and a processing gas containing a rare gas, It is preferably a base oxide film (SiO 2 film) formed on the surface of the substrate to be processed, which contains Si as a main component.
  • a plasma containing Si as a main component is used by using a plasma based on microwave irradiation through a planar antenna member in the presence of a processing gas containing O 2 and a rare gas.
  • a base oxide film (SiO 2 film) is formed on the surface of the processing substrate, and then a plasma based on microwave irradiation through a planar antenna member in the presence of a processing gas containing at least N 2 and a rare gas is used.
  • the surface of the base Sio 2 film can be nitrided.
  • the gas contains at least N 2 and a noble gas.
  • the rare gas that can be used at this time is not particularly limited, and can be appropriately selected from known rare gases (or a combination of two or more thereof). From the viewpoint of film quality, krypton, argon, or helium can be suitably used as the noble gas.
  • the following nitriding conditions can be suitably used in view of the characteristics of the surface nitrided oxide film to be formed.
  • N 2 2 to 500 sccm, more preferably 4 to 200 sccm
  • Noble gas eg, Kr, Ar, or He
  • 200 to 200 sccm more preferably 500-200 seem, especially preferred 100-200 sccm
  • H 2 1 to: I 100 sccm, more preferably 2 to 50 sccm, particularly preferably 5 to 30 sccm
  • Temperature room temperature (25 ° C) to 700 ° C, more preferably 200 ° to 500 ° C
  • Pressure 10 to 300 mT or r, more preferably 20 to 100 m T or r, particularly preferably 50 to 1 O O O mT or r
  • Microwave 0.5-4 W / cm 2 , more preferably 0.5-3 W / cm 2
  • the following conditions can be mentioned as preferable examples from the viewpoint of the characteristics of the oxide film having a surface nitride to be formed.
  • a preferred example of the processing gas when nitriding the SiO 2 film N 2 at a flow rate of 4 to 200 sccm, and a script at a flow rate of 500 to 200 sccm Gas containing nitrogen, argon or helium; or N 2 at a flow rate of 4 to 20 Osccm, a script of a flow rate of 500 to 2000 seem, argon or helium, and a flow rate . 2 to 3 0 sccm gas containing H 2 of.
  • a preferred example of the temperature at the time of nitriding the SiO 2 film room temperature to 700 ° C.
  • a preferred example of the pressure during nitriding of the SiO 2 film is 2.7 to 135 Pa (20 to 1 OOO mTorr).
  • a plasma formed with an output of 0.5 to 3 W / cm 2 As a preferable example of the plasma at the time of nitriding the SiO 2 film, a plasma formed with an output of 0.5 to 3 W / cm 2 .
  • an electrode layer can be formed on the SiO 2 film or the SiO ON film as necessary.
  • an electrode layer made of polysilicon, amorphous silicon, or SiGe can be suitably used from the viewpoint of device characteristics.
  • the underlying SiO 2 film or SiO ON film used at this time is not particularly limited, but from the viewpoint of the deposition characteristics and the productivity, the planar antenna member is required in the presence of a processing gas containing at least O 2 and a rare gas.
  • the surface of the underlayer SiO 2 film is formed by using a nitriding plasma based on microphone mouth wave irradiation through a planar antenna member having a plurality of slits.
  • a substrate to be treated provided with the SiO 2 film or the surface-nitrided underlying SiO 2 film is heated in the presence of a layer-forming gas to form the SiO 2 film or Si
  • An electrode layer (for example, an electrode layer made of polysilicon, amorphous silicon, or SiGe) can be formed on the ON film.
  • the electrode forming gas that can be used in the present invention is not particularly limited, and may be appropriately selected from any of known electrode forming gases or a combination of two or more thereof depending on the material of the electrode layer to be formed. Can be used.
  • the electrode-forming gas is S i H 4.
  • suitable electrode forming conditions are as follows: pressure: 20.0 to 40 Pa (150 to 300 mTorr), more preferably 26 to 33 3 Pa (200-250 mTorr) Temperature: 570-650 ° C, more preferably 600-630 ° C
  • the electrode to be formed is amorphous silicon.
  • the electrode-forming gas is S i H 4.
  • suitable electrode forming conditions are as follows: C i3 ⁇ 4> -S?
  • the electrode forming gas is GeH 4 / SiH 4 .
  • a microwave having a low electron temperature and a high density is formed by irradiating a microwave through a planar antenna member having a plurality of slits, and the surface of the substrate to be processed is oxidized using the plasma. (If necessary, nitriding). Therefore, a process with low plasma damage and high reactivity at low temperature is possible.
  • the present invention relates to a microwave plasma apparatus having a planar antenna having such a large number of slits, having a low electron temperature, low plasma damage, and capable of generating high-density plasma.
  • a microwave plasma apparatus having a planar antenna having such a large number of slits, having a low electron temperature, low plasma damage, and capable of generating high-density plasma.
  • the new plasma device equipped with this planar antenna has the ability to supply high-density radicals even at temperatures between room temperature and about 700 ° C, so that it is possible to suppress the degradation of the depiice characteristics due to heating. In addition, it is considered that a process having high reactivity can be performed even at a low temperature.
  • an extremely thin film thickness and a high quality oxide film or oxynitride film for example,
  • oxide and oxynitride films with the characteristics required for the next generation MOS semiconductor structure have not yet been obtained.
  • what is required for the next generation MOS semiconductor structure is a MOS semiconductor structure having an oxide film or an oxynitride film having a thickness of 2.5 nm or less.
  • a MOS semiconductor structure using polysilicon, amorphous silicon, SiGe, or the like as the gate electrode is preferable.
  • a method of manufacturing a semiconductor structure including an extremely thin and high-quality oxide film or oxynitride film has not been found in the past.
  • the characteristics of the plasma that can be suitably used in the present invention are as follows.
  • a thin oxide film and a high-quality oxide film or Z or oxynitride film can be formed. Therefore, by forming another layer (for example, an electrode layer) on this oxide film and / or oxynitride film, it becomes easy to form a structure of a semiconductor device having excellent characteristics. .
  • an oxide film and / or an oxynitride film having a very small thickness for example, a film thickness of 2.5 nm or less.
  • a very small thickness for example, a film thickness of 2.5 nm or less.
  • an oxide film having suitable characteristics as described below can be easily produced.
  • Figure 10 shows the results of SIMS analysis of the oxide film subjected to SPA nitridation. Nitriding treatment was applied to the underlying oxide film of 15A for 8 seconds and 25 seconds. As shown in the figure, the surface contains a high concentration of nitrogen, which makes it possible to perform nitriding while avoiding deterioration of device characteristics due to contamination of the interface with nitrogen.
  • the range to which the method of the present invention can be applied is not particularly limited. It can be particularly suitably used as a gate insulating film having a structure.
  • a MIS semiconductor structure having suitable characteristics as described below.
  • evaluating the characteristics of the oxide film and the Z or oxynitride film formed according to the present invention for example, see the literature (Applied Physics Vol. 69, No. 9 (2000) PP 10 49 to: By forming a standard MOS semiconductor structure as described in L059) and evaluating the characteristics of the MOS, the oxide film and Z or acid This can be replaced with the evaluation of the characteristics of the nitride film itself. This is because, in such a standard MOS structure, the characteristics of the oxide film and / or the oxynitride film forming the structure strongly influence the MIS characteristics.
  • FIG. 1A reference numeral 1 in FIG. 1A denotes a silicon substrate, 11 denotes a field oxide film, 2 denotes a gate insulating film, and 13 denotes a gate electrode.
  • the gate insulating film 2 is formed of a high-quality insulating film formed at the interface with the silicon substrate 1. For example, it is composed of an oxide film 2 having a thickness of about 2.5 nm.
  • a high oxide film 2 of this quality, 0 2 and inert gas in the presence of including the processing gas, the substrate to be processed whose main component is S i, the planar antenna having a plurality of scan Li Tsu DOO A plasma is formed by irradiating a microphone mouth wave through a member, and a silicon oxide film (hereinafter, referred to as “SiO 2 film”) formed on the surface of the substrate to be processed using the plasma.
  • SiO 2 film silicon oxide film
  • the surface of the silicon oxide film 2 may be subjected to a nitriding treatment as necessary.
  • a gate electrode 13 mainly containing silicon (polysilicon or amorphous silicon) is formed.
  • FIG. 2 is a schematic view (schematic plan view) showing an example of the entire configuration of a semiconductor manufacturing apparatus 30 for implementing the method for manufacturing an electronic deposition material of the present invention.
  • a transfer chamber 31 for transferring the wafer W (FIG. 3) is provided substantially at the center of the semiconductor manufacturing apparatus 30, and the transfer chamber 31 is provided in the transfer chamber 31.
  • a heating unit 36 for performing various heating operations and a heating reactor 47 for performing various heating processes on the wafer are provided.
  • the heating reactor 47 may be provided separately and independently from the semiconductor manufacturing apparatus 30.
  • a pre-cooling unit 45 and a cooling unit 46 for performing various types of pre-cooling and non-pre-cooling operations are provided beside the load port cut units 34 and 35, respectively.
  • Transfer arms 37 and 38 are arranged inside the transfer chamber 31, and can transfer the wafer W (FIG. 3) to and from each of the units 32 to 36.
  • a loader is located on the near side in the drawing of the load ports 34 and 35.
  • One arm 41 and 42 are provided. These loader arms 4 1 and 4 2 move wafers W in and out of the 4 cassettes 4 4 set on the cassette stage 4 3 arranged further in front of them. Can be.
  • both the plasma processing units 32 and 33 can be replaced with single-chamber type CVD processing units, and one unit is provided at the position of the plasma processing units 32 and 33.
  • a method may be used in which a SiO 2 film is formed in the processing unit 32 and then the surface of the SiO 2 film is nitrided in the processing unit 33.
  • the SiO 2 film formation and the surface nitriding of the SiO 2 film may be performed in parallel.
  • surface nitriding can be performed in parallel by the processing units 32 and 33.
  • FIG. 3 is a schematic cross-sectional view in the vertical direction of a plasma processing unit 32 (33) that can be used for forming the gated green film 2.
  • reference numeral 50 denotes a vacuum vessel formed of, for example, aluminum.
  • An opening 51 larger than the substrate (eg, wafer W) is formed on the upper surface of the vacuum vessel 50, and a dielectric such as quartz-aluminum nitride is used so as to close the opening 51.
  • a flat cylindrical top plate 54 made of a body is provided on the lower side wall of the top plate 54.
  • gas supply pipes 72 are provided at 16 positions evenly arranged along the circumferential direction.
  • the processing gas containing at least one selected from the group consisting of O 2 , a rare gas, N 2, and H 2 is supplied from the gas supply pipe 72 near the plasma region P of the vacuum vessel 50.
  • a flat antenna member having a plurality of slits for example, a slit plane antenna (Slit Plane Antenna;
  • a waveguide 63 connected to a microwave power supply 61 that forms a high-frequency power supply through, for example, a SPA) 60 and generates, for example, 2.45 GHz microwaves is provided.
  • the waveguide 63 includes a flat circular waveguide 63 A having a lower edge connected to the SPA 60 and a cylindrical waveguide 63 having one end connected to the upper surface of the circular waveguide 63 A.
  • 3B a coaxial waveguide converter 63C connected to the upper surface of the cylindrical waveguide 63B, and one end connected at right angles to the side surface of the coaxial waveguide converter 63C.
  • the other end is configured by combining with a rectangular waveguide 63 D connected to the microphone mouth power supply 61.
  • the UHF and the microwave are referred to as a high frequency region.
  • the high-frequency power supplied from the high-frequency power supply unit is not less than 300 MHz and not more than 250 MHz, including UHF of 30 OMHz or more and microwaves of 1 GHz or more.
  • the plasma generated by this high-frequency power is called high-frequency plasma.
  • one end of the shaft portion 62 made of a conductive material is connected to substantially the center of the upper surface of the SPA 60, and the other end is connected to the cylindrical waveguide 63.
  • the coaxial waveguide is provided so as to be connected to the upper surface of B, whereby the waveguide 63 B is configured as a coaxial waveguide.
  • An installation table 52 is provided.
  • the mounting table 52 has a built-in temperature controller (not shown), so that the mounting table 52 functions as a hot plate.
  • one end of an exhaust pipe 53 is connected to the bottom of the vacuum vessel 50, and the other end of the exhaust pipe 53 is connected to a vacuum pump 55.
  • FIG. 4 is a schematic plan view showing an example of SP A60 that can be used in the electronic deposition material manufacturing apparatus of the present invention.
  • each slot 60a is a substantially rectangular through groove, and adjacent slots are arranged so as to be orthogonal to each other to form a letter "T" in a substantially alphabetic shape.
  • the length and arrangement interval of the slots 60a are determined according to the wavelength of the microphone mouth wave generated by the microwave power supply unit 61.
  • FIG. 5 is a schematic cross-sectional view in the vertical direction showing an example of a heating reaction furnace 47 that can be used in the apparatus for manufacturing an electronic deposition material of the present invention.
  • the processing chamber 82 of the heating reaction furnace 47 is formed in an airtight structure by, for example, an aluminum.
  • the processing chamber 82 is provided with a heating mechanism and a cooling mechanism.
  • a gas introduction pipe 83 for introducing gas is connected to the center of the upper part of the processing chamber 82, and the inside of the processing chamber 82 and the inside of the gas introduction pipe 83 are communicated.
  • the gas introduction pipe 83 is connected to a gas supply source 84. Then, gas is supplied from the gas supply source 84 to the gas introduction pipe 83, and the gas is introduced into the processing chamber 82 via the gas introduction pipe 83.
  • gases electrode forming gas
  • silane which can be used as a material for forming a gate electrode
  • an inert gas is used as a carrier gas. You can also.
  • a gas exhaust pipe 85 for exhausting gas in the processing chamber 82 is connected to a lower portion of the processing chamber 82, and the gas exhaust pipe 85 is connected to an exhaust means (not shown) including a vacuum pump or the like. ing.
  • the gas in the processing chamber 82 is exhausted from the gas exhaust pipe 85, and the inside of the processing chamber 82 is set to a desired pressure.
  • a mounting table 87 for mounting the wafer W is disposed below the processing chamber 82.
  • the wafer W is mounted on the mounting table 87 by an electrostatic chuck (not shown) having substantially the same diameter as the wafer W.
  • the mounting table 87 has a heat source means (not shown) provided therein, and is formed in a structure that can adjust the processing surface of the wafer W mounted on the mounting table 87 to a desired temperature.
  • the mounting table 87 has a mechanism that can rotate the mounted wafer W as necessary.
  • an opening 82 a for taking in and out the wafer W is provided on the wall of the processing chamber 82 on the right side of the mounting table 87, and the opening and closing of the opening 82 a is performed by opening and closing a gate valve 98. Is performed by moving the vertical direction in the figure.
  • a transfer arm (not shown) for transferring the wafer W is provided adjacent to the right side of the gate pulp 98, and the transfer arm enters and exits the processing chamber 82 through the opening 82a. Then, the wafer W is placed on the mounting table 87 and the processed wafer W is unloaded from the processing chamber 82.
  • a shower head 88 as a shower member is provided above the mounting table 87.
  • This shared head 8 8 is connected to the stand 8 7 It is formed so as to divide the space between it and the introduction pipe 83, and is made of, for example, aluminum or the like.
  • the shower head 88 is formed so that the gas outlet 83a of the gas inlet pipe 83 is located at the center of the upper part, and passes through the gas supply hole 89 provided at the lower part of the shower head 88. However, gas is introduced into the processing chamber 82, and the gas is introduced.
  • FIG. 6 is a flowchart showing an example of the flow of each step in the method of the present invention.
  • a field oxide film 11 (FIG. 1A) is formed on the surface of wafer W in a previous step.
  • the wafer W on which the field oxide film 11 is formed is placed on the mounting table 52 (FIG. 3).
  • the gate pulp is closed to seal the inside, and then the inside atmosphere is evacuated by a vacuum pump 55 through an exhaust pipe 53 to evacuate to a predetermined degree of vacuum and maintain a predetermined pressure.
  • a microwave of, for example, 1.8 GHz (2200 W) is generated from the microphone mouth-wave power supply unit 61, and the microwave is guided by the waveguide to cause the SPA 60 and the top plate 54 to pass therethrough. Then, the high-frequency plasma is generated in the upper plasma region P in the vacuum vessel 50.
  • the microwave is transmitted in the rectangular waveguide 63D in the rectangular mode, converted from the rectangular mode to the circular mode by the coaxial waveguide converter 63C, and the cylindrical coaxial waveguide is transmitted in the circular mode.
  • Tube 6 3 B is transmitted, and circular waveguide 6
  • the light is transmitted while being spread at 3 A, radiated from the slot 60 a of the SPA 60, transmitted through the top plate 54, and introduced into the vacuum vessel 50.
  • high-density plasma is generated due to the use of microwaves, and high-density plasma is generated because the microwaves are radiated from many slots 60a of SPA 60.
  • the gas supply pipe 72 is used to dilute the processing gas for forming an oxide film such as krypton or argon.
  • the first step formation of an oxide film
  • the first step is performed by introducing a gas and an O 2 gas at a flow rate of 100 sccm and 20 sccm, respectively.
  • the introduced processing gas is activated (plasmaized) by the plasma flow generated in the plasma processing unit 32, and the plasma is used to generate a silicon gas as shown in the schematic cross-sectional view of FIG. 7A.
  • the surface of the substrate 1 is oxidized to form an oxide film (SiO 2 film) 2.
  • this oxidation treatment is performed, for example, for 40 seconds, so that a 2.5 nm-thick gate oxide film or a base oxide film (base SiO 2 film) 2 for a gut oxynitride film can be formed.
  • the gate valve (not shown) is opened, and the transfer arms 37 and 38 (FIG. 2) enter the vacuum vessel 50 to receive the wafer W on the mounting table 52.
  • the transfer arms 37 and 38 take out the wafer W from the plasma processing unit 32, they are set on a mounting table in the adjacent plasma processing unit 33 (step 2). Further, depending on the application, the gate oxide film may be moved to the thermal reactor 47 without nitriding.
  • a surface nitriding treatment is performed on the wafer W, and a nitride-containing layer 2a (see FIG. 4 ) is formed on the surface of the base oxide film (base SiO 2 ) 2 formed earlier. 7 B) is formed.
  • the wafer temperature is, for example, 400 ° C.
  • the process pressure is, for example, 66.7 Pa (5
  • argon gas and N 2 gas are introduced into the container 50 from the gas introduction pipe at a flow rate of 100 seem and 20 sccm, respectively.
  • a microphone mouth wave power of, for example, 2 W / cm 2 is generated from the microphone mouth wave power supply unit 61, and this microwave is guided by the waveguide and passed through the SPA 60 b and the top plate 54. Then, the high-frequency plasma is generated in the upper plasma region P in the vacuum vessel 50.
  • a nitrogen-containing layer 2a is formed on the surface of the base oxide film (underlying SiO 2 film) 2 on the wafer W.
  • a gut oxynitride film (SiON film) having a thickness of about 2 nm can be formed.
  • a gate electrode 13 (FIG. 1A) is formed on the SiO 2 film on the wafer W or on the SiO 2 film obtained by nitriding the underlying SiO 2 film.
  • the wafer W on which the gate oxide film or the gate oxynitride film is formed is taken out of the plasma processing unit 32 or 33, respectively, and the transfer chamber 31 (FIG. 2) Once, and then housed in a heating reactor 47 (step 4).
  • the heating reaction furnace 47 the wafer W is heated under predetermined processing conditions, and a predetermined good electrode 13 is formed on the gate oxide film or the good oxynitride film.
  • processing conditions are selected according to the type of the gate electrode 13 to be formed. You can choose.
  • SiH 4 is used as a processing gas (electrode forming gas), and the range of 20.0 to 33.3 Pa (150 to The treatment is carried out under a pressure of 250 mTorr or 570 to 63 ° C.
  • the gate electrode 1 3 consisting Amorufasushiri Con as the process gas (electrode-forming gas), using the S i H 4, 2 0. 0 ⁇ 6 6. 7 P a (1 The treatment is performed under a pressure of 50 to 500 mT orr) and a temperature of 52 to 570 ° C.
  • the treatment is performed under a pressure of ⁇ 60 Pa and a temperature of 460 ⁇ 560 ° C.
  • a plurality of slits are formed on a wafer w containing Si as a main component in the presence of a processing gas.
  • a microwave containing oxygen (O 2 ) and a rare gas is formed by irradiating a microwave through a planar antenna member (SPA) having the same, and an oxide film is formed on the surface of the substrate to be processed using the plasma.
  • SPA planar antenna member
  • the quality of the oxide film in the first step is high as shown in the graph of FIG.
  • FIG. 8 shows the leak characteristics of the M0S type semiconductor structure formed on the silicon wafer W by the method for manufacturing an electron deposition material according to the above-described embodiment.
  • the vertical axis of this graph shows the value of the leak current
  • the horizontal axis shows the electrical film thickness (converted film thickness).
  • the solid line graph 1 shows the leakage characteristics of the thermal oxide film (DryOx) formed by the conventional thermal oxidation method (Dry thermal oxidation method) for reference
  • the graph 0 shows 0 2 shows the leakage characteristics of an oxide film (SPAOx) obtained by plasma treatment using SPA in the presence of argon as a rare gas.
  • the oxide film formed by the method for manufacturing an electronic device material of the present invention is compared with the leak characteristic of the thermal oxide film formed by the conventional thermal oxidation method. Has a low leak value. Therefore, by using the oxide film formed according to the present invention, low power consumption can be realized and good device characteristics can be obtained.
  • an oxide film for example, a gate oxide film having higher quality than a thermal oxide film and having a lower interface state can be obtained.
  • the reason why the quality of the oxide film formed by the above-described method is high is estimated as follows. That is, plasma formed by irradiating the processing gas with microwaves using the SPA is formed as plasma having a relatively low electron temperature. Therefore, the bias between the plasma and the surface of the substrate to be processed is suppressed to a relatively low value, and the plasma damage is small. Therefore, it is considered that an SiO 2 film having good interface characteristics is formed as shown in FIG.
  • the oxynitride film obtained by performing the surface nitriding treatment in the second step has excellent quality. The reason is presumed as follows according to the knowledge of the present inventors.
  • Nitrogen radicals generated on the oxide film surface by the above SPA have high density. Is possible. Also, compared to the generation of nitrogen radicals by heat, high-density nitrogen radicals can be generated even at a low temperature (about room temperature), and it is possible to suppress deterioration of device characteristics due to heat typified by diffusion of dopants. Furthermore, since nitrogen in the film is contained on the surface of the oxide film, it is possible to improve the dielectric constant without deteriorating the interface characteristics and to exhibit performance such as an effect of preventing penetration of boron. .
  • the MOS semiconductor structure has excellent characteristics. According to the knowledge of the present inventors, the reason is presumed as follows.
  • an extremely thin and high-quality good insulating film can be formed.
  • Such a high-quality gate insulating film gate oxide film and Z or gate oxynitride film
  • a gate electrode formed on it for example, polysilicon, amorphous silicon, SiGe by CVD
  • good transistor characteristics for example, good leak characteristics.
  • the processing unit shown in FIG. 2 by using the plasma as shown in FIG. 2 on the n-type silicon substrate on which the element isolation has been formed using SPA plasma. Then, a 1.8 nm underlying SiO 2 film was formed. Total thickness is 1.8 nm (equivalent oxide thickness) It is.
  • a P-type polysilicon gate electrode was formed, and the equivalent film thickness was determined from the CV characteristics.
  • the equivalent film thickness was reduced to about 1.4 nm, and the uniformity of the film thickness was as good as 4% at 3 sigma.
  • the vertical axis in Fig. 9 shows the leakage current characteristics
  • the horizontal axis shows the electrical film thickness (converted film thickness).
  • Graph 1 indicated by a straight line indicates the leakage characteristics of a standard thermal oxide film
  • graph ⁇ ⁇ indicated by a point indicates the leakage characteristics of a film subjected to nitridation after SPA oxidation.
  • Graph II a decrease in the equivalent film thickness was observed as the nitriding time increased.
  • the leakage current was reduced by up to an order of magnitude compared to the standard thermal oxide film.
  • a high-performance MIS type semiconductor structure having good electric characteristics can be formed with a throughput that can be applied industrially.
  • a planar antenna member having a plurality of slits (a so-called SPA antenna) is formed on a substrate to be processed mainly containing Si in the presence of a processing gas. Irradiation of microwaves through the In order to form an oxide film (SiO 2 film) by supplying a mask, suitable characteristics control of the interface between the silicon-containing substrate and the oxide film (SiO 2 film) formed on the surface is performed. It can be carried out.
  • the electronic device manufacturing method of another aspect according to the present invention by using a method of performing nitriding treatment on the formation of the underlying oxide film (S i ⁇ 2 film) by a method using a so-called SPA antenna, High quality oxynitride film (SiON film) can be formed.
  • an electrode layer for example, a gate electrode made of polysilicon or amorphous silicon or SiGe
  • a semiconductor structure having good electric characteristics for example, a MOS type semiconductor structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

明 細 書
電子デバイス材料の製造方法
技術分野
本発明は、 電子デパイス材料の製造に好適に使用可能な方法に関 する。 本発明の電子デバイス材料の製造方法は、 例えば、 半導体な いし半導体装置 (例えば、 MO S型半導体構造を有するもの) 材料 を形成するために好適に使用することが可能である。
背景技術
本発明の製造方法は半導体ないし半導体装置、 液晶デバイス等の 電子デバイス材料の製造に一般的に広く適用可能であるが、 ここで は説明の便宜のために、 半導体装置 (devices) の背景技術を例に とつて説明する。
近年の半導体装置の微細化に伴い、 薄く、 しかも良質のシリ コン 酸化膜 ( S i O2 膜) に対するニーズが著しく高まって来ている。 例えば、 半導体装置の構成として最もポピュラーな MO S型半導体 構造においては、 いわゆるスケーリ ングルー/レに従って、 極めて薄 く (例えば 2. 5 n m以下程度) 、 しかも良質のゲー ト絶縁膜 ( S i O2 膜) に対するニーズが極めて高くなつている。
従来より、 このよ うなゲー ト絶縁膜材料と.しては、 8 5 0 ° C〜 1 0 0 0 ° C程度の高温加熱炉を用いてシリ コン基板を直接に酸化 して得られるシリ コン酸化膜 ( S i 02 膜) が工業的に使用されて きた。
しかしながら、 このよ うな S i 02 膜を単に 2. 5 n m以下に薄 く した場合には、 このゲー ト絶縁膜を流れる漏れ電流 (ゲート リー ク電流) が大きくなり、 消費電力の増大やデバイス特性劣化の加速 などの問題が生じていた。
また、 従来の薄いゲート絶縁膜を用いた際には、 ゲー ト電極形成 時に当該ゲートに含まれるホウ素が S i O 2 膜を突き抜けて、 ゲー ト絶縁膜の下地であるシリ コン基板に達し、 半導体デパイス特性を 劣化させる という問題も生じていた。 このような問題点を解決する 一つの方法と して、 ゲート絶縁膜材料として酸窒化膜 ( S i O N膜 ) を使用することが検討されている。
しかしながら、 このよ うな S i O N膜を直接且つ単純に熱酸窒化 法によつて形成すると、 シリ コン基板との界面に多数の窒素が含有 されてしまい、 デパイス特性が劣化してしま う傾向が避けがたい。 また、 熱酸化膜と C V D (化学気相成長法) による S i N膜形成を 組み合わせた S i O 2 / S i Nスタック構造では、 S i 0 2 / S i N 界面にキヤリ アの トラップが生じ、 デパイス特性が劣化してしま う 傾向がある。 そのため、 このような S i O N膜形成においては、 プ ラズマを用いて S i O 2膜を窒化する方法が有望と考えられる。 プ ラズマ窒化は、 一般に、 界面準位が小さく且つ酸化膜表面に高い窒 素含有率 (数パーセント) を有する高品質のゲート酸窒化膜を与え 易いからである。 また、 プラズマを用いた場合には、 低温で窒化を 行なう こ とが容易という利点がある。
S i 0 2膜を加熱によって窒化しよう とすると通常は 1 0 0 0 ° C以上の高温が必要であるため、 この熱工程によ りシリ コン基板に 注入された ドーパントが差異拡散することによってデバイス特性が 劣化してしまう傾向がある (このよ うな方法は、 特開昭 5 5— 1 3 4 9 3 7号公報や特開昭 5 9— 4 0 5 9号公報などに開示されてい る) 。
このよ うにプラズマの使用には種々の利点があるが、 反面、 プラ ズマを用いて窒化を行う場合、 プラズマダメージが発生し、 デパイ ス特性の劣化が生じる可能性がある。 発明の開示
本発明の目的は、 上記した従来技術における問題点を解消可能な 電子デバイス材料の製造方法を提供することにある。
即ち、 本発明の他の目的は、 極めて薄く (例えば膜厚 2 . 5 n m 以下) 、 且つ良質な酸化膜および/又は酸窒化膜を備える電子デバ イス構造を製造可能な方法を提供するこ とにある。
本発明の他の目的は、 極めて薄く (例えば膜厚 2 . 5 n m以下) 、 且つ高品質なゲー ト酸化膜および Z又は酸窒化膜を備える M O S 型半導体構造を形成可能な電子デバイス材料の製造方法を提供する ことにある。
本発明の電子デバイス材料の製造方法は、 0 2 および希ガスを少 なく とも含む処理ガスの存在下で、 複数のス リ ッ トを有する平面ァ ンテナ部材を介するマイクロ波照射に基づく プラズマを用いて、 S i を主成分とする被処理基体の表面に酸化膜 ( S i O 2 膜) を形成 することを特徴とするものである。
本発明によれば、 更に O 2 および希ガスを少なく とも含む処理ガ スの存在下で、 複数のス リ ッ トを有する平面アンテナ部材を介する マイク口波照射に基づくプラズマを用いて、 S i を主成分とする被 処理基体の表面に下地酸化膜 ( S i O 2 膜) を形成する工程と ;
N 2 と希ガスとを少なく とも含む処理ガスの存在下で、 複数のス リ ッ トを有する平面アンテナ部材を介するマイク口波照射に基づく 窒化プラズマを用いて、 前記下地 S i O 2 膜表面を窒化する工程と を含むことを特徴とする電子デパイス材料の製造方法が提供される 本発明によれば、 更に o 2 および希ガスを少なく とも含む処理ガ スの存在下で、 複数のス リ ッ トを有する平面アンテナ部材を介する マイクロ波照射に基づくプラズマを用いて、 S i を主成分とする被 処理基体の表面に下地酸化膜 (S i O 2 膜) を形成する工程と ;
N 2 と希ガスとを少なく とも含む処理ガスの存在下で、 複数のス リ ッ トを有する平面アンテナ部材を介するマイクロ波照射に基づく 窒化プラズマを用いて、 前記下地 S i O 2 膜表面を窒化する工程と 前記 S i O 2 膜または表面窒化した下地 S i 0 2 膜 ( S i O N膜 ) を有する被処理基体を、 層形成ガスの存在下に加熱して、 前記 S i O 2 膜または S i O N膜上に電極層を形成する工程と ; を含むことを特徴とする電子デパイス材料の製造方法が提供される
図面の簡単な説明
図 1は、 本発明の電子デパイス材料の製造方法によ り製造可能な 半導体装置の一例を示す模式的な垂直断面図である。
図 2は、 本発明の電子デパイス材料の製造方法を実施するための 半導体製造装置の一例を示す模式平面図である。
図 3は、 本発明の電子デパイス材料の製造方法に使用可能なスリ ッ トプレイ ンアンテナ (S l i t Plane Ant enna; 以下、 「 S P A」 と 略記する) プラズマ処理ュ-ッ トの一例を示す模式的な垂直断面図 である。
図 4は、 本発明の電子デバィス材料の製造装置に使用可能な S P Aの一例を示す模式的な平面図である。
図 5は、 本発明の電子デパイス材料の製造方法に使用可能な加熱 反応炉ュニッ トの一例を示す模式的な垂直断面図である。
図 6は、 本発明の製造方法における各工程の一例を示すフローチ ヤー トである。
図 7は、 本発明の方法による膜形成の一例を示す模式断面図であ る。
図 8は、 本発明の方法によ り得られた M O S半導体構造のリーク 特性を示すダラフである。
図 9は、 本発明の方法によ り得られたグート リーク電流特性を示 すグラフである。
図 1 0は、 本発明の方法によ り得られた酸窒化膜の S I M S分析 結果を示すダラフである。
上記した図面において使用した符号の意味は、 以下の通りである
W…ウェハ (被処理基体) 、 6 0— S P A (平面アンテナ部材) 、 2…酸化膜、 2 a…窒素含有層、 3 2…プラズマ処理ユニッ ト ( プロセスチャンバ) 、 3 3…プラズマ処理ユニッ ト (プロセスチヤ ンバ) 、 4 7…加熱反応炉。 発明を実施するための最良の形態
以下、 必要に応じて図面を参照しつつ、 本発明を詳細に説明する 。 以下の記載において量比を表す 「部」 および 「%」 は、 特に断ら ない限り質量基準とする。
(酸化膜の形成)
本発明の好適な一態様においては、 O 2 および希ガスを少なく とも含む処理ガス (ないしは処理ガス雰囲気 ; 以下同様) の存在下 で、 複数のスリ ッ トを有する平面アンテナ部材を介するマイクロ波 照射に基づくプラズマを用いて、 S i を主成分とする被処理基体の 表面に酸化膜 ( S i O 2 膜) を形成することができる。
本発明に使用可能な被処理基体は、 S i を主成分とする限り特に 制限されないが、 例えばシ リ コ ン (単結晶シリ コン等) 、 ガラス等 の公知の電子デパイス用基体を好適に使用することができる。
(処理ガス)
本発明において酸化膜形成の際には、 処理ガスは、 少なく とも o
2 および希ガスを含む。 この際に使用可能な希ガスは特に制限され ず、 公知の希ガス (ないしはその 2種類以上の組合せ) から適宜選 択して使用することができる。 膜質の点からは、 希ガスとしてク リ プト ン、 アルゴン、 またはヘリ ウムが好適に使用可能である。
(酸化膜の形成条件)
本発明を酸化膜の形成に用いる態様においては、 形成されるべき 酸化膜の特性の点からは、 下記の条件が好適に使用できる。
O 2 : 5〜 5 0 0 s c c m、 より好ましく は 5 0〜 5 0 0 s c c m、
希ガス (例えば、 K r 、 A r、 または H e ) : 5 0 0〜 3 0 0 0 s c c m、 よ り好ましく は 5 0 0〜 2 0 0 0 s e e m、 特に好まし く は 1 0 0 0〜 2 0 0 0 s c c m
温度 : 室温 ( 2 5 °C) 〜 7 0 0 °C、 よ り好ましく は 2 0 0〜 7 〇 0 °C、 特に好ましく は 2 0 0〜 5 0 0 °C
圧力 : 2 0〜 5 0 0 0 m T o r r、 よ り好ましく は 5 0 0〜 3 0 O O mT o r r、 特に好ましくは 1 0 0 0〜 2 0 0 0 mT o r r マイクロ波 : 0 . 5〜 5 W/ c m2 、 よ り好ましく は 0. 5〜 4 W/ c m 2
(好適な条件の例)
本発明の製造方法において、 形成されるべき酸化膜の特性の点か らは、 下記の各条件を好適な例として挙げるこ とができる。 処理ガスの好適な一例 : 流量 5 0〜 5 0 0 s c c mの O 2 、 およ び、 流量 5 0 0〜 2 0 0 0 s c c mのク リ プ ト ン、 アルゴン、 また はヘリ ゥムを含むガス。
S i O 2 膜の形成時の温度の好適な一例 : 3 0 0 ~ 7 0 0 °Cの温 度が挙げられる。
S i O 2 膜形成の圧力の好適な一例と して、 2. 7〜 2 7 0 P a ( 2 0〜 2 0 0 0 mT o r r ) が挙げられる。
S i O 2 膜の形成時のプラズマ好適な一例として、 l〜 4WZ c m2 の出力で形成されるプラズマ。
( S i O 2 酸化膜の窒化)
本発明においては、 必要に応じて、 平面アンテナ部材を介するマ ィク口波照射に基づく窒化プラズマを用いることにより、 S i O 2 酸化膜を好適に窒化することができる。 この際に窒化すべき S i O
2 酸化膜は特に制限されないが、 膜質、 生産性の点からは、 o2 お よび希ガスを含む処理ガスの存在下で平面アンテナ部材を介するマ ィク口波照射に基づく プラズマを用いて、 S i を主成分とする被処 理基体の表面に形成した下地酸化膜 ( S i O 2 膜) であることが好 ましい。
すなわち、 本発明の好適な他の態様においては、 O 2 および希ガ スを含む処理ガスの存在下で平面ァンテナ部材を介するマイクロ波 照射に基づくプラズマを用いて、 S i を主成分とする被処理基体の 表面に下地酸化膜 ( S i O 2 膜) を形成し、 次いで、 N 2 と希ガス とを少なく とも含む処理ガスの存在下で平面アンテナ部材を介する マイクロ波照射に基づくプラズマを用いて前記下地 S i o 2 膜表面 を窒化することができる。
(処理ガス)
本発明の上記した S i O 2 酸化膜の窒化の態様において、 処理ガ スは、 少なく とも N 2 と希ガスとを含む。 この際に使用可能な希ガ スは特に制限されず、 公知の希ガス (ないしはその 2種類以上の組 合せ) から適宜選択して使用することができる。 膜質の点からは、 希ガスとしてク リ プトン、 アルゴン、 またはヘリ ウムが好適に使用 可能である。
(酸化膜の窒化条件)
本発明を酸化膜の形成に用いる態様においては、 形成されるべき 表面窒化の酸化膜の特性の点からは、 下記の窒化条件が好適に使用 できる。
N 2 : 2〜 5 0 0 s c c m、 よ り好ましくは 4〜 2 0 0 s c c m 希ガス (例えば、 K r、 A r、 または H e ) : 2 0 0〜 2 0 0 0 s c c m、 よ り好ましくは 5 0 0〜 2 0 0 0 s e e m、 特に好まし くは 1 0 0 0〜 2 0 0 0 s c c m、
H 2 : 1 〜: I 0 0 s c c m、 よ り好ましくは 2〜 5 0 s c c m、 特に好ましく は 5〜 3 0 s c c m
温度 : 室温 ( 2 5 °C) 〜 7 0 0 °C、 よ り好ましくは 2 0 0〜 5 0 0 °C
圧力 : 1 0〜 3 0 0 0 mT o r r、 よ り好ましくは 2 0〜 1 0 0 0 m T 0 r r、 特に好ましくは 5 0〜 1 O O O mT o r r
マイクロ波 : 0. 5〜 4W/ c m2 、 より好ましく は 0. 5〜 3 W/ c m 2
(好適な条件の例)
本発明の製造方法において、 形成されるべき表面窒化の酸化膜の 特性の点からは、 下記の条件を好適な例として挙げることができる
S i O 2 膜の窒化時の処理ガスの好適な一例 : 流量 4〜 2 0 0 s c c mの N 2 、 および、 流量 5 0 0〜 2 0 0 0 s c c mのク リ プト ン、 アルゴン若しくはヘリ ウムを含むガス ; または、 流量 4〜 2 0 O s c c mの N2 、 流量 5 0 0〜 2 0 0 0 s e e mのク リ プ ト ン、 アルゴン若しく はヘリ ウム、 および、 流量 2〜 3 0 s c c mの H2 を含むガス。
S i O 2 膜の窒化時の温度の好適な一例 : 室温〜 7 0 0 °Cの温度 が挙げられる。
S i O 2 膜窒化時の圧力の好適な一例と して、 2. 7〜 1 3 5 P a ( 2 0〜 1 O O O mT o r r ) が挙げられる。
S i O2 膜の窒化時のプラズマの好適な一例と して、 0. 5〜 3 W/ c m 2 の出力で形成されるプラズマ。
(電極層形成の態様)
本発明においては、 必要に応じて、 S i 02 膜または S i ON 膜上に電極層を形成することができる。 この電極層としては、 デバ ィス特性の点からは、 ポリ シリ コンまたはアモルフ ァスシリ コンま たは S i G eからなる電極層を好適に用いることができる。 この際 に用いる下地の S i O 2 膜または S i O N膜は特に制限されないが デパイス特性、 生産性の点からは、 O 2 および希ガスを少なく と も含む処理ガスの存在下で平面アンテナ部材を介するマイクロ波照 射に基づくプラズマを用いて、 S i を主成分とする被処理基体の表 面に形成した下地酸化膜 ( S i O 2 膜) ; または N 2 と希ガスとを 少なく とも含む処理ガスの存在下で平面アンテナ部材を介するマイ クロ波照射に基づくプラズマを用いて形成した S i ON膜であるこ とが好ましい。
すなわち、 本発明の好適な一態様においては、 02 および希ガス を少なく とも含む処理ガスの存在下で、 複数のス リ ッ トを有する平 面アンテナ部材を介するマイク口波照射に基づく プラズマを用いて 、 S i を主成分とする被処理基体の表面に下地酸化膜 (S i O2 膜 ) を形成し ;
N 2 と希ガスとを少なく とも含む処理ガスの存在下で、 複数のス リ ッ トを有する平面アンテナ部材を介するマイク口波照射に基づく 窒化プラズマを用いて、 前記下地 S i O 2 膜表面を窒化し ;
前記 S i O 2 膜または表面窒化した下地 S i O 2 膜 (S i O N膜 ) を備えた被処理基体を、 層形成ガスの存在下に加熱して、 前記 S i O 2 膜または S i O N膜上に電極層 (例えばポリ シ リ コ ンまたは ァモルファスシリ コ ンまたは S i G eからなる電極層) を形成する ことができる。
(電極形成ガス)
本発明において使用可能な電極形成ガスは特に制限されず、 形成 すべき電極層の材質に応じて、 公知の電極形成ガスのいずれか、 ま たはそれらの 2種以上の組合せから適宜選択して使用することがで きる。
形成すべき電極がポリ シリ コ ンからなる場合には、 デパイス特性 、 生産性の点からは、 前記電極形成ガスが S i H 4 であることが好 ましい。 この場合に、 好適な電極形成条件は、 以下の通りである : 圧力 : 2 0 . 0〜 4 0 P a ( 1 5 0〜 3 0 0 m T o r r ) 、 より 好ましくは、 2 6〜 3 3 . 3 P a ( 2 0 0〜 2 5 0 m T o r r ) 温度 : 5 7 0〜 6 5 0 °C、 よ り好ましくは 6 0 0〜 6 3 0 °C 形成すべき電極がァモルファスシリ コ ンからなる場合には、 デバ イス特性、 生産性の点からは、 前記電極形成ガスが S i H 4 である ことが好ましい。 この場合に、 好適な電極形成条件は、 以下の通り C i¾> -S? :
圧力 : 2 0 . 0〜 6 6 . 7 P a ( 1 5 0〜 5 0 0 m T o r r ) 、 温度 : 5 2 0〜 5 7 0 ° (:、
形成すべき電極が S i G eからなる場合には、 デパイス特性の点 からは、 前記電極形成ガスが G e H 4 / S i H 4 であることが好ま しい。 この場合に、 好適な電極形成条件は、 以下の通りである : ガス組成 : G e H4 / S i H 4 = 1 0 / 9 0〜 6 0 / 4 0 %の 混合ガス、
圧力 : 2 0〜 6 0 P a、
温度 : 4 6 0〜 5 6 0 ° (:、
(平面アンテナ部材)
本発明においては、 複数のスリ ッ トを有する平面アンテナ部材を 介してマイクロ波を照射することにより電子温度が低く且つ高密度 なプラズマを形成し、 このプラズマを用いて前記被処理基体表面に 酸化 (必要に応じて、 窒化処理) を行う ことが特徴である。 このた め、 プラズマダメージが小さく、 且つ低温で反応性の高いプロセス が可能である。
このような多数のス リ ッ トを有する平面アンテナを備え、 且つ電 子温度が低く、 プラズマダメージが小さく、 また、 密度の高いブラ ズマを発生させる能力を有するマイクロ波プラズマ装置の詳細に関 しては、 例えば文献 (Ultra Clean technology Vol.10 Supplement l,p.32, 1998, Published by Ultra Clean Society)を参照すること ができる。
このよ うな新しいプラズマ装置を用いると、 電子温度は 1 . 5 e V程度以下、 プラズマシース電圧も数 V以下のプラズマがが容易に 得られるため、 従来のプラズマ (プラズマシース電圧が 5 0 V程度 ) に対して、 プラズマダメージを大幅に低減できる。 この平面アン テナを備える新しいプラズマ装置は、 室温〜 7 0 0 °C程度の温度で も高密度のラジカルを供給できる能力を有しているため、 加熱によ るデパイス特性の劣化を抑制でき、 且つ低温でも高い反応性を有す るプロセスが可能となると考えられる。 これに対して、 従来においては、 プラズマを用いた際であっても 、 極めて薄い膜厚を有し、 しかも良質な酸化膜や酸窒化膜 (例えば
、 次世代用の M O S型半導体構造として要求される水準の諸特性を 備えた酸化膜や酸窒化膜) は未だ得られていなかった。 例えば、 次 世代用の M O S型半導体構造として求められるのは、 膜厚が 2 . 5 n m以下の酸化膜や酸窒化膜を備える M O S型半導体構造である。 この際には、 デバイス特性の点からは、 ゲー ト電極と してポリ シリ コンゃアモルファスシリ コ ン、 S i G e等を用いた M O S型半導体 構造が好ましいことが考えられる。 しかしながら、 極めて薄く、 且 つ良質な酸化膜や酸窒化膜を備える半導体構造を製造する方法は、 従来においては見出されていなかった。
(好適なプラズマ)
本発明において好適に使用可能なプラズマの特性は、 以下の通り である。
電子温度 : < 2 e V
密度 : 1 0 1 1〜 1 0 1 3
プラズマ密度の均一性 : ± 3 %以内 上記したように本発明の方法によれば、 膜厚が薄く、 しかも良質 な酸化膜および Z又は酸窒化膜を形成することができる。 したがつ て、 この酸化膜および/又は酸窒化膜上に他の層 (例えば、 電極層 ) を形成することによ り、 特性に優れた半導体装置の構造を形成す ることが容易となる。
本発明のプロセスによれば、 特に、 極めて薄い膜厚 (例えば膜厚 2 . 5 n m以下) の酸化膜および/又は酸窒化膜を形成することが 可能であるために、 例えば、 この酸化膜および Z又は酸窒化膜上に ゲー ト電極と してポリ シリ コンまたはアモルフ ァスシリ コンまたは S i G e を用いることによ り、 高性能な MO S型半導体構造を形成 することが出来る。
(酸化膜の好適な特性)
本発明によれば、 下記のよ うに好適な特性を有する酸化膜を容易 に製造することができる。
物理膜厚 : 0. 8 mm〜任意
リーク特性 : D r y O x と比較して、 同等〜 1桁低減
膜均一性 : 土 6 %以内
(酸窒化膜の好適な特性)
本発明によれば、 下記のよ うに好適な特性を有する酸窒化膜を容 易に製造することが出来る。
表面窒素濃度 〜20% (図 1 0を参照)
図 10に SPA窒化を施した酸化膜の SIMS分析結果を示す。 15Aの下地 酸化膜状に窒化処理を 8秒、 25秒施した。 図に示されるように表面 に高濃度の窒素が含有されており、 界面の窒素混入によるデバイス 特性の劣化を避けて窒化を行う ことが可能となっている。
(MO S半導体構造の好適な特性)
本発明の方法が適用可能な範囲は特に制限されないが、 本発明に よ り形成可能な極めて薄く、 しかも良質な酸化膜および Z又は酸窒 化膜は、 半導体装置の絶縁膜 (特に MO S半導体構造のゲー ト絶縁 膜) と して特に好適に利用することができる。
本発明によれば、 下記のよ うに好適な特性を有する MO S半導体 構造を容易に製造することができる。 なお、 本発明によ り形成した 酸化膜および Z又は酸窒化膜の特性を評価する際には、 例えば、 文 献 (応用物理第 6 9卷第 9号 ( 2 0 0 0年) P P 1 0 4 9〜: L 0 5 9 ) に記載されたような標準的な MO S半導体構造を形成して、 そ の MO Sの特性を評価することによ り、 上記酸化膜および Z又は酸 窒化膜の自体の特性評価に代えることができる。 このよ うな標準的 な MO S構造においては、 該構造を構成する酸化膜および/又は酸 窒化膜の特性が、 MO S特性に強い影響を与えるからである。
電気的膜厚 (換算膜厚) 1. 0〜 2. 5 n m
リーク特性 D r y O X と比較して半珩〜 1桁低減
膜厚均一性 ± 2 %以内
(製造装置の一態様)
以下、 本発明の製造方法の好適な一態様について説明する。
まず本発明の電子デパイス材料の製造方法によつて製造可能な半 導体装置の構造の一例について、 絶縁膜としてゲート絶縁膜を備え た MO S構造を有する半導体装置を図 1 を参照しつつ説明する。 図 1 Aを参照して、 この図 1 Aにおいて参照番号 1 はシリ コン基 板、 1 1はフィールド酸化膜、 2はゲー ト絶縁膜であり、 1 3はゲ ート電極である。 上述したように、 本発明の製造方法によれば極め て薄く且つ良質なゲー ト絶縁膜 2を形成するこ とができる。 このゲ ート絶縁膜 2は、 図 1 Bに示すように、 シリ コン基板 1 との界面に 形成された、 品質の高い絶縁膜からなる。 例えば 2. 5 nm程度の 厚さの酸化膜 2によ り構成されている。
この例では、 この品質の高い酸化膜 2は、 02 および希ガスを含 む処理ガスの存在下で、 S i を主成分とする被処理基体に、 複数の ス リ ッ トを有する平面アンテナ部材を介してマイク口波を照射する ことによりプラズマを形成し、 このプラズマを用いて前記被処理基 体表面に形成されたシリ コン酸化膜 (以下 「 S i O 2 膜」 という) からなることが好ましい。 このような S i O 2 膜を用いた際には、 後述するように、 相間の界面特性 (例えば、 界面準位) が良好で、 且つ MO S構造と した際に良好なゲート リーク特性を得ることが容 易という特徴がある。 このシリ コン酸化膜 2の表面には、 必要に応じて、 窒化処理を施 してもよい。 このシリ コン酸化膜 2の窒化処理された表面の上には 、 更にシリ コン (ポリ シリ コ ンまたはアモルファスシリ コン) を主 成分とするゲート電極 1 3が形成されている。
(製造方法の一態様)
次に、 このよ うなシリ コン酸化膜 2、 窒化処理表面 2 a、 更にそ の上にグート電極 1 3が配設された電子デパイス材料の製造方法に ついて説明する。
図 2は本発明の電子デパイス材料の製造方法を実施するための半 導体製造装置 3 0の全体構成の一例を示す概略図 (模式平面図) で める。
図 2に示すよ うに、 この半導体製造装置 3 0のほぼ中央には、 ゥ ェハ W (図 3 ) を搬送するための搬送室 3 1が配設されており、 こ の搬送室 3 1の周囲を取り囲むように、 ウェハに種々の処理を行う ためのプラズマ処理ユニッ ト 3 2、 3 3、 各処理室間の連通/遮断 の操作を行うための二機のロー ドロ ツクユニッ ト 3 4および 3 5 、 種々の加熱操作を行うための加熱ュニッ ト 3 6、 およびウェハに種 々の加熱処理を行うための加熱反応炉 4 7が配設されている。 なお 、 加熱反応炉 4 7は、 上記半導体製造装置 3 0 とは別個に独立して 設けてもよい。
ロード口ツクユ-ッ ト 3 4 、 3 5の横には、 種々の予備冷却ない し冷却操作を行うための予備冷却ュニッ ト 4 5、 冷却ュ-ッ ト 4 6 がそれぞれ配設されている。
搬送室 3 1の内部には、 搬送アーム 3 7および 3 8が配設されて おり、 前記各ュニッ ト 3 2〜 3 6 との間でウェハ W (図 3 ) を搬送 することができる。
ロード口ツクユ-ッ ト 3 4および 3 5の図中手前側には、 ローダ 一アーム 4 1および 4 2が配設されている。 これらのローダーァー ム 4 1および 4 2は、 更にその手前側に配設されたカセッ トステー ジ 4 3上にセッ トされた 4台のカセッ ト 4 4 との間でウェハ Wを出 し入れすることができる。
なお、 図 2中のプラズマ処理ユニッ ト 3 2、 3 3 と しては、 同型 のプラズマ処理ュニッ トがニ基並列してセッ トされている。
更に、 これらプラズマ処理ュニッ ト 3 2およびュニッ ト 3 3は、 ともにシングルチヤンパ型 C V D処理ュニッ ト と交換するこ とが可 能であり、 プラズマ処理ュニッ ト 3 2や 3 3の位置に一基または二 基のシングルチャンパ型 C V D処理ュ-ッ トをセッ トするこ とも可 能である。
プラズマ処理が二基の場合、 例えば、 処理ユニッ ト 3 2で S i O 2 膜を形成した後、 処理ユニッ ト 3 3で S i 〇 2 膜を表面窒化する 方法を行っても良く、 また処理ュニッ ト 3 2および 3 3で並列に S i O 2 膜形成と S i O 2 膜の表面窒化を行っても良い。 或いは別の 装置で S i O 2 膜形成を行った後、 処理ュニッ ト 3 2および 3 3で 並列に表面窒化を行うこともできる。
(ゲー ト絶緑膜成膜の一態様)
図 3はゲー ト絶緑膜 2の成膜に使用可能なプラズマ処理ュニッ ト 3 2 ( 3 3 ) の垂直方向の模式断面図である。
図 3を参照して、 参照番号 5 0は、 例えばアルミニゥムによ り形 成された真空容器である。 この真空容器 5 0の上面には、 基板 (例 えばウェハ W ) よ り も大きい開口部 5 1 が形成されており、 この開 口部 5 1 を塞ぐように、 例えば石英ゃ窒化アルミ等の誘電体によ り 構成された偏平な円筒形状の天板 5 4が設けられている。 この天板 5 4の下面である真空容器 5 0の上部側の側壁には、 例えばその周 方向に沿って均等に配置した 1 6箇所の位置にガス供給管 7 2が設 けられており、 このガス供給管 7 2から O 2 や希ガス、 N 2 およ び H2 等から選ばれた 1種以上を含む処理ガスが、 真空容器 5 0の プラズマ領域 P近傍にムラなく均等に供給されるよ うになっている 天板 5 4の外側には、 複数のスリ ッ トを有する平面アンテナ部材 、 例えば銅板によ り形成されたスリ ッ トプレイ ンアンテナ (Slit P lane Antenna; S P A) 6 0を介して、 高周波電源部をなし、 例え ば 2. 4 5 GH zのマイクロ波を発生するマイクロ波電源部 6 1に 接続された導波路 6 3が設けられている。 この導波路 6 3は、 S P A 6 0に下縁が接続された偏平な円形導波管 6 3 Aと、 この円形導 波管 6 3 Aの上面に一端側が接続された円筒形導波管 6 3 Bと、 こ の円筒形導波管 6 3 Bの上面に接統された同軸導波変換器 6 3 Cと 、 この同軸導波変換器 6 3 Cの側面に直角に一端側が接続され、 他 端側がマイク口波電源部 6 1に接続された矩形導波管 6 3 Dとを組 み合わせて構成されている。
ここで、 本発明においては、 UH Fとマイクロ波とを含めて高周 波領域と呼ぶものとする。 すなわち、 高周波電源部よ り供給される 高周波電力は 3 0 OMH z以上の UHFや 1 GH z以上のマイクロ 波を含む、 3 0 0 MH z以上 2 5 0 0 MH z以下のものとし、 これ らの高周波電力によ り発生されるプラズマを高周波プラズマと呼ぶ ものとする。
前記円筒形導波管 6 3 Bの内部には、 導電性材料からなる軸部 6 2の、 一端側が S P A 6 0の上面のほぼ中央に接続し、 他端側が円 筒形導波管 6 3 Bの上面に接続するように同軸状に設けられており 、 これにより当該導波管 6 3 Bは同軸導波管として構成されている また真空容器 5 0内には、 天板 5 4と対向するようにウェハ Wの 载置台 5 2が設けられている。 この载置台 5 2には図示しない温調 部が内蔵されており、 これにより当該载置台 5 2は熱板として機能 するよ うになつている。 更に真空容器 5 0の底部には排気管 5 3の 一端側が接続されており、 この排気管 5 3の他端側は真空ポンプ 5 5に接続されている。
( S P Aの一態様)
図 4は本発明の電子デパイス材料の製造装置に使用可能な S P A 6 0の一例を示す模式平面図である。
この図 4に示したように、 この S P A 6 0では、 表面に複数のス ロッ ト 6 0 a 、 6 0 a、 …が同心円状に形成されている。 各スロ ッ ト 6 0 aは略方形の貫通した溝であり、 隣接するスロ ッ トどう しは 互いに直交して略アルファベッ トの 「T」 の文字を形成するよ うに 配設されている。 スロ ッ ト 6 0 a の長さや配列間隔は、 マイクロ波 電源部 6 1 よ り発生したマイク口波の波長に応じて決定されている
(加熱反応炉のー態様)
図 5は本発明の電子デパイス材料の製造装置に使用可能な加熱反 応炉 4 7の一例を示す垂直方向の模式断面図である。
図 5に示すように、 加熱反応炉 4 7の処理室 8 2は、 例えばアル ミニゥム等により気密可能な構造に形成されている。 この図 5では 省略さえているが、 処理室 8 2内には加熱機構や冷却機構を備えて いる。
図 5に示したように、 処理室 8 2には上部中央にガスを導入する ガス導入管 8 3が接続され、 処理室 8 2内とガス導入管 8 3内とが 連通されている。 また、 ガス導入管 8 3はガス供給源 8 4に接続さ れている。 そして、 ガス供給源 8 4からガス導入管 8 3にガスが供 給され、 ガス導入管 8 3を介して処理室 8 2内にガスが導入されて いる。 このガスと しては、 ゲート電極形成の原料となる、 例えばシ ラン等の各種のガス (電極形成ガス) を用いることができ、 必要に 応じて、 不活性ガスをキャ リ アガスと して用いることもできる。 処理室 8 2の下部には、 処理室 8 2内のガスを排気するガス排気 管 8 5が接続され、 ガス排気管 8 5は真空ポンプ等からなる排気手 段 (図示せず) に接続されている。 この排気手段により、 処理室 8 2内のガスがガス排気管 8 5から排気され、 処理室 8 2内が所望の 圧力に設定されている。
また、 処理室 8 2の下部には、 ウェハ Wを载置する载置台 8 7が 配置されている。
この図 5に示した態様においては、 ウェハ Wと略同径大の図示し ない静電チヤックによ り ウェハ Wが载置台 8 7上に载置されている 。 この載置台 8 7には、 図示しない熱源手段が内設されており、 载 置台 8 7上に載置されたウェハ Wの処理面を所望の温度に調整でき る構造に形成されている。
この载置台 8 7は、 必要に応じて、 載置したウェハ Wを回転でき るような機構になっている。
図 5中、 载置台 8 7の右側の処理室 8 2壁面にはウェハ Wを出し 入れするための開口部 8 2 aが設けられており、 この開口部 8 2 a の開閉はゲートバルブ 9 8を図中上下方向に移動することによ り行 われる。 図 5中、 ゲー トパルプ 9 8の更に右側にはウェハ Wを搬送 する搬送アーム (図示せず) が隣設されており、 搬送アームが開口 部 8 2 a を介して処理室 8 2内に出入り して载置台 8 7上にウェハ Wを载置したり、 処理後のウェハ Wを処理室 8 2から搬出するよ う になっている。
载置台 8 7の上方には、 シャワー部材と してのシャワーへッ ド 8 8が配設されている。 このシャヮ一へッ ド 8 8は载置台 8 7 とガス 導入管 8 3 との間の空間を区画するように形成されており、 例えば アルミニウム等から形成されている。
シャワーへッ ド 8 8は、 その上部中央にガス導入管 8 3のガス出 口 8 3 aが位置するように形成され、 シャワーヘッ ド 8 8下部に設 置されたガス供給孔 8 9を通し、 処理室 8 2内にガスが導入されて レヽる。
(絶縁膜形成の態様)
次に、 上述した装置を用いて、 ウェハ W上にゲー ト絶縁膜 2から なる絶縁膜を形成する方法の好適な一例について説明する。
図 6は本発明の方法における各工程の流れの一例を示すフローチ ヤー トである。
図 6を参照して、 まず、 前段の工程でウェハ W表面にフィールド 酸化膜 1 1 (図 1 A ) を形成する。
次いでプラズマ処理ユニッ ト 3 2 (図 2 ) 内の真空容器 5 0の側 壁に設けたゲートバルブ (図示せず) を開いて、 搬送アーム 3 7 、 3 8により、 前記シリ コン基板 1表面にフィールド酸化膜 1 1が形 成されたウェハ Wを载置台 5 2 (図 3 ) 上に载置する。
続いてゲートパルプを閉じて内部を密閉した後、 真空ポンプ 5 5 によ り排気管 5 3 を介して内部雰囲気を排気して所定の真空度まで 真空引きし、 所定の圧力に維持する。 一方マイク口波電源部 6 1 よ り例えば 1 . 8 0 G H z ( 2 2 0 0 W) のマイクロ波を発生させ、 このマイクロ波を導波路により案内して S P A 6 0および天板 5 4 を介して真空容器 5 0内に導入し、 これによ り真空容器 5 0内の上 部側のプラズマ領域 Pにて高周波プラズマを発生させる。
ここでマイクロ波は矩形導波管 6 3 D内を矩形モー ドで伝送し、 同軸導波変換器 6 3 Cにて矩形モードから円形モー ドに変換され、 円形モー ドで円筒形同軸導波管 6 3 Bを伝送し、 更に円形導波管 6 3 Aにて拡げられた状態で伝送していき、 S P A 6 0のスロ ッ ト 6 0 a よ り放射され、 天板 5 4を透過して真空容器 5 0に導入される 。 この際マイクロ波を用いているため高密度のプラズマが発生し、 またマイクロ波を S P A 6 0の多数のスロッ ト 6 0 aから放射して いるため、 このプラズマが高密度なものとなる。
次いで、 載置台 5 2の温度を調節してウェハ Wを例えば 4 0 0 °C に加熱しながら、 ガス供給管 7 2よ り酸化膜形成用の処理ガスであ るク リプトンやアルゴン等の希ガスと、 O2 ガスとを、 それぞれ 1 0 0 0 s c c m、 2 0 s c c mの流量で導入して第 1の工程 (酸化 膜の形成) を実施する。
この工程では、 導入された処理ガスはプラズマ処理ュニッ ト 3 2 内にて発生したプラズマ流によ り活性化 (プラズマ化) され、 この プラズマにより図 7 Aの模式断面図に示すように、 シリ コン基板 1 の表面が酸化されて酸化膜 (S i O2 膜) 2が形成される。 こ う し てこの酸化処理を例えば 4 0秒間行い、 2. 5 n mの厚さのゲート 酸化膜またはグート酸窒化膜用下地酸化膜 (下地 S i O 2 膜) 2を 形成することができる。
次に、 ゲートバルブ (図示せず) を開き、 真空容器 5 0内に搬送 アーム 3 7、 3 8 (図 2 ) を進入させ、 载置台 5 2上のウェハ Wを 受け取る。 この搬送アーム 3 7、 3 8はウェハ Wをプラズマ処理ュ ニッ ト 3 2から取り出した後、 隣接するプラズマ処理ユニッ ト 3 3 内の载置台にセッ トする (ステップ 2 ) 。 また、 用途によ り、 ゲー ト酸化膜を窒化せずに熱反応炉 4 7に移動する場合もある。
(窒化含有層形成の態様)
次いで、 このプラズマ処理ュニッ ト 3 3内でウェハ W上に表面窒 化処理が施され、 先に形成された下地酸化膜 (下地 S i O 2 ) 2の 表面上に窒化含有層 2 a (図 7 B) が形成される。 この表面窒化処理の際には、 例えば、 真空容器 5 0内にて、 ゥェ ハ温度が例えば 4 0 0 °C、 プロセス圧力が例えば 6 6 . 7 P a ( 5
0 0 m T o r r ) の状態で、 容器 5 0内にガス導入管よりアルゴン ガスと、 N 2 ガスとを、 それぞれ 1 0 0 0 s e e m、 2 0 s c c m の流量で導入する。
その一方で、 マイク口波電源部 6 1 より例えば 2 W / c m 2 のマ イク口波を発生させ、 このマイクロ波を導波路によ り案内して S P A 6 0 bおよび天板 5 4を介して真空容器 5 0内に導入し、 これに より真空容器 5 0内の上部側のプラズマ領域 Pにて高周波プラズマ を発生させる。
この工程 (表面窒化) では、 導入されたガスはプラズマ化し、 窒 素ラジカルが形成される。 この窒素ラジカルがウェハ W上面上の S
1 O 2 膜上で反応し、 比較的短時間で S i o 2 膜表面を窒化する。 このよ うにして図 7 Bに示すように、 ウェハ W上の下地酸化膜 (下 地 S i 0 2 膜) 2の表面に窒素含有層 2 aが形成される。
この窒化処理を例えば 2 0秒行う ことで、 換算膜厚 2 n m程度の 厚さのグート酸窒化膜 ( S i O N膜) を形成することができる。
(ゲー ト電極形成の態様)
次に、 ウェハ W上の S i O 2 膜上または下地 S i O 2 膜を窒化処 理した S i O N膜上にゲート電極 1 3 (図 1 A ) を形成する。 この ゲート電極 1 3を形成するためには、 グー ト酸化膜またはゲート酸 窒化膜が形成されたウェハ Wをそれぞれプラズマ処理ュニッ ト 3 2 または 3 3内から取り出し、 搬送室 3 1 (図 2 ) 側に一旦取り出し 、 しかる後に加熱反応炉 4 7内に収容する (ステップ 4 ) 。 加熱反 応炉 4 7内では所定の処理条件下でウェハ Wを加熱し、 ゲート酸化 膜またはグー ト酸窒化膜上に所定のグート電極 1 3を形成する。
このとき、 形成するゲート電極 1 3の種類に応じて処理条件を選 択することができる。
即ち、 ポリシリ コンからなるゲート電極 1 3を形成する場合には 、 処理ガス (電極形成ガス) として、 S i H4 を使用し、 2 0. 0 〜 3 3. 3 P a ( 1 5 0〜 2 5 0 mT o r r ) の圧力、 5 7 0〜 6 3 0 °Cの温度条件下で処理する。
また、 ァモルファスシリ コンからなるゲー ト電極 1 3を形成する 場合には、 処理ガス (電極形成ガス) として、 S i H4 を使用し、 2 0. 0〜 6 6. 7 P a ( 1 5 0〜 5 0 0 mT o r r ) の圧力、 5 2 0〜 5 7 0 °Cの温度条件下で処理する。
更に、 S i G eからなるゲート電極 1 3を形成する場合には、 G e H 4 / S i H 4 = 1 0 Z 9 0〜 6 0 Z 4 0 %の混合ガスを使用し 、 2 0〜 6 0 P aの圧力、 4 6 0〜 5 6 0 °Cの温度条件下で処理す る。
(酸化膜の品質)
上述した第 1の工程では、 グート酸化膜またはゲー ト酸窒化膜用 下地酸化膜を形成するに際し、 処理ガスの存在下で、 S i を主成分 とするウェハ wに、 複数のスリ ッ トを有する平面アンテナ部材 (S P A) を介してマイクロ波を照射することによ り酸素 (O2 ) およ び希ガスとを含むプラズマを形成し、 このプラズマを用いて前記被 処理基体表面に酸化膜を形成しているため、 品質が高く、 且つ膜質 制御を首尾よく行う ことができる。
第 1の工程における酸化膜の品質は図 8のグラフに示すよ うに高 いものである。
この図 8は、 上述した態様に関わる電子デパイス材料の製造方法 によ りシリ コンウェハ W上に形成された M0S型半導体構造のリーク特 性をあらわしたものである。 このグラフの縦軸にはリーク電流の値 を取り、 横軸には電気的膜厚 (換算膜厚) をとつた。 図 8中、 実線で示したグラフ①は参照の為に従来の熱酸化法 (Dr y熱酸化法) によ り形成された熱酸化膜 (DryOx) のリーク特性を示 し、 グラフ②は 02と希ガスと してアルゴンの存在下に SPAを用いて プラズマ処理して得られた酸化膜 (SPAOx) のリーク特性を表す。 図 8のグラフから明らかなように従来の熱酸化法によ り形成され る熱酸化膜のリーク特性①に比較して、 本発明の電子デバイス材料 の製造方法によ り形成される酸化膜②のリーク値は低い。 したがつ て、 本発明によ り形成される酸化膜を用いることにより、 低消費電 力が実現され、 良好なデバイス特性を得ることが出来る。
(高品質酸化膜の推定メ力二ズム)
上述したように、 本発明の電子デパイス材料の製造方法によ り、 熱酸化膜よ り高品質の、 低い界面準位を備えた酸化膜 (例えばゲー ト酸化膜) を得ることができた。
このよ うに、 上述の方法によ り形成された酸化膜の品質が高くな る理由は、 本発明者の知見によれば、 以下のよ うに推定される。 即ち、 S P Aを用いて処理ガスにマイクロ波を照射することによ り形成されるプラズマは、 電子温度が比較的低いプラズマとして形 成される。 そのため、 プラズマと被処理基体表面とのバイアスは比 較的低い値に抑制され、 プラズマダメージが小さい。 そのため、 図 8に示したように、 良好な界面特性を有する S i O 2 膜が形成され るものと考えられる。
(高品質酸窒化膜の推定メ力二ズム)
また、 上記第 2の工程で表面窒化処理して得られる酸窒化膜は優 れた品質を備えている。 その理由は、 本発明者の知見によれば、 以 下のよ うに推定される。
上記 S P Aによって酸化膜表面に生成される窒素ラジカルは高密 度であるため、 酸化膜表面にパーセント単位で窒素を混入すること が可能である。 また、 熱による窒素ラジカル生成に比べ、 低温 (室 温程度) でも高密度な窒素ラジカルを生成でき、 ドーパントの拡散 等に代表される熱によるデバイス特性の劣化を抑制することが可能 である。 更に、 膜中の窒素は酸化膜表面に含有されるため、 界面特 性を劣化させること無く、 誘電率を向上させ、 また、 ホウ素の突き 抜け防止効果等の性能を発揮することが可能である。
(好適な M O S特性の推定メカニズム)
更に、 上記第 3の工程において特定条件下で加熱処理して得られ るゲート電極を形成することにより、 M O S型半導体構造は優れた 特性を備えている。 その理由は、 本発明者の知見によれば、 以下の ように推定される。
本発明においては、 上述したように極めて薄く、 且つ良質なグー ト絶縁膜を形成するこ とができる。 このよ うな良質なゲート絶縁膜 (ゲート酸化膜および Z又はゲート酸窒化膜) と、 その上に形成し たゲー ト電極 (例えば、 C V Dによるポリ シ リ コ ン、 アモルファス シリ コン、 S i G e ) との組合せに基づき、 良好な トランジスタ特 性 (例えば、 良好なリーク特性) を実現することが可能となる。 更には、 図 2に示すよ うなクラスター化を行うことで、 ゲー ト酸 化膜およびゲート酸窒化膜形成と、 ゲート電極形成との間における 大気への暴露を避けることが可能となり、 歩留りゃデパイス特性の 更なる向上が可能となる。
実施例
以下、 実施例によ り本発明を更に具体的に説明する。
本発明の電子デパイス材料の製造方法によ り、 素子分離形成を行 つた n型シリ コン基板上に図 2に示したような装置を用いて S P A プラズマを用いて図 2中 3 2の処理ュニッ トで 1 . 8 n mの下地 S i O 2 膜を形成した。 合計の膜厚は 1 . 8 n m (酸化膜換算膜厚) である。 下地 S i O 2 膜の形成条件については、 O 2 / A r 2 = 2 0 0 s c c m/ 2 0 0 0 s c c mで圧力は 2 0 0 0 m T o r r、 マ イク 口波パワーは 3 c m 2 で、 温度は 4 0 0 ° Cであった。
下地 Si02の窒化条件については N2/Ar流量 = 40sccm/1000sccmで圧 力は 7 Pa ( 5 0 mTorr) 、 マイクロ波は 2W/cm2で温度は 400°Cであ つた。 窒化時間を 10秒、 20秒、 40秒と変化させた。 スループッ トは 1チャンパ一あたり 25枚/時間を達成し、 工業的に充分適用できるレ ベルであることを確認できた。
グート絶縁膜形成に引き続いて、 P型ポリシリ コンゲート電極を 形成して換算膜厚を CV特性から求めた。 換算膜厚は 1.4nm程度まで 減少し、 膜厚の均一性も 3シグマで 4%と良好な結果が得られた。
更に、 ゲート リーク電流特性を測定した。 図 9の縦軸にリーク電 流特性、 横軸に電気的膜厚 (換算膜厚) をとつた。 直線で示された グラフ①は標準の熱酸化膜のリーク特性を示し、 ポイントで示され たグラフ②は SPA酸化後、 窒化を施した膜のリーク特性を示す。 グ ラフ②に示すように、 窒化時間の増加に伴い換算膜厚の低減が観測 された。 また、 40秒窒化の条件では、 標準の熱酸化膜と比較してリ 一ク電流は最大で 1桁程度減少した。
以上示したように、 本発明の電子デバイス材料の製造方法によ り 良好な電気特性を備えた高性能 MO S型半導体構造を工業的に充分 適用できるスループッ トで形成することができた。 産業上の利用可能性
上述したように本発明の電子デパイス製造方法によれば、 処理ガ スの存在下で、 S i を主成分とする被処理基体に、 複数のス リ ッ ト を有する平面アンテナ部材 (いわゆる S P Aアンテナ) を介してマ イク 口波を照射するこ とによ り、 シリ コン含有基板上に直接プラズ マを供給して酸化膜 ( S i 02 膜) を形成するため、 シリ コン含有 基板とその表面に形成される酸化膜 ( S i O 2 膜) との間で好適な 界面の特性制御を行うことができる。
更に、 本発明による他の態様の電子デバイス製造方法によれば、 いわゆる S P Aアンテナを用いた方法で下地酸化膜 ( S i 〇 2 膜) を形成した上に窒化処理を行う方法を用いることで、 高品質の酸窒 化膜 ( S i ON膜) を形成することができる。
更に、 このようにして形成した高品質の酸化膜および/又は酸窒 化膜上に電極層 (例えば、 ポリ シリ コンまたはアモルファスシリ コ ンまたは S i G eからなるゲー ト電極) を形成することによ り、 良 好な電気特性を有する半導体構造 (例えば、 MO S型半導体構造) を形成することができる。

Claims

B 求 の
1 . Ο 2 および希ガスを少なく とも含む処理ガスの存在下で、 複 数のス リ ッ トを有する平面アンテナ部材を介するマイクロ波照射に 基づくプラズマを用いて、 S i を主成分とする被処理基体の表面に 酸化膜 ( S i O 2 膜) を形成することを特徴とする電子デバイス材 料の製造方法。
2. 前記電子デバィスが半導体装置である請求項 1に記載の電子 デバイス材料の製造方法。
3. 前記酸化膜が、 ゲート酸化膜 ( S i 02 膜) またはゲー ト酸 窒化膜用下地酸化膜 (下地 S i O 2 膜) である請求項 2に記載の電 子デパイス材料の製造方法。
4. 前記酸化膜の膜厚が 2. 5 n m以下である請求項 1〜 3のい ずれかに記載の電子デバイス材料の製造方法。
5. 前記希ガスが、 ク リ プトン、 アルゴンまたはヘリ ゥムから選 ばれた 1種以上のガスである請求項 1 〜 4のいずれかに記載の電子 デバイス材料の製造方法。
6. 前記処理ガスが、 流量 5〜 5 0 0 s c c mの 02 、 および流 量 5 0 0〜 3 0 0 0 s c c mのク リ プ トン、 アルゴンまたはへリ ウ ムを含むガスである請求項 1〜 5のいずれかに記載の電子デパイス 材料の製造方法。
7. 前記 S i 02 膜の形成が、 室温〜 7 0 0 °Cの温度下で行なわ れる請求項 1〜 6のいずれかに記載の電子デパイス材料の製造方法
8. 前記 S i 〇 2 膜の形成が、 2 0〜 5 0 0 0 mT o r rの圧力 下で行なわれる請求項 1 〜 4のいずれかに記載の電子デパイス材科 の製造方法。
9. 前記プラズマが、 0. 5〜 5 W// c m 2 の出力で形成される 請求項 1 〜 8のいずれかに記載の電子デパイス材料の製造方法。
1 0. O 2 および希ガスを少なく とも含む処理ガスの存在下で、 複数のスリ ッ トを有する平面アンテナ部材を介するマイク口波照射 に基づく プラズマを用いて、 S i を主成分とする被処理基体の表面 に下地酸化膜 ( S i O 2 膜) を形成する工程と ;
N 2 と希ガスとを少なく とも含む処理ガスの存在下で、 複数のス リ ッ トを有する平面アンテナ部材を介するマイクロ波照射に基づく 窒化プラズマを用いて、 前記下地 S i O 2 膜表面を窒化する工程と を含むことを特徴とする電子デパイス材料の製造方法。
1 1. 前記電子デパイスが半導体装置である請求項 1 0に記載の 電子デパイス材料の製造方法。
1 2. 前記処理ガスが、 更に H2 を含む請求項 1 0または 1 1 に 記載の電子デバィス材料の製造方法。
1 3. 前記酸化膜がゲート酸化膜 ( S i 02 膜) またはグート酸 窒化膜用下地酸化膜 (下地 S i O 2 膜) である請求項 1 0〜 1 2の いずれかに記載の電子デバイス材料の製造方法。
1 4. 前記酸化膜の膜厚が 2. 5 n m以下である請求項 1 0〜 1 3のいずれかに記載の電子デパイス材料の製造方法。
1 5. 前記希ガスが、 ク リプトン、 アルゴンまたはヘリ ウムであ る請求項 1 0〜 1 4のいずれかに記載の電子デバイス材料の製造方 法。
1 6. 前記処理ガスが、 流量 2〜 5 0 0 s e e mの N2 、 および 、 流量 2 0 0〜 2 0 0 0 s c c mのク リ プトン、 ァルゴン若しく は ヘリ ウムを含むガス ; または、 流量 2〜 5 0 0 s c c mの N2 、 流 量 2 0 0〜 2 0 0 0 s c c mのク リ プトン、 アルゴン若しく はヘリ ゥム、 および、 流量 1〜 1 0 0 3 。 。 111の112 を含むガスである請 求項 1 0〜 1 5のいずれかに記載の電子デバイス材料の製造方法。
1 7. 前記下地 S i O 2 膜の窒化が、 室温〜 7 0 0 °Cの温度下で 行なわれる請求項 1 0〜 1 6のいずれかに記載の電子デバイス材料 の製造方法。
1 8. 前記下地 S i O 2 膜の窒化が、 1 0〜 3 0 0 0 mT o r r ) の圧力下で行なわれる請求項 1 0〜 1 8のいずれかに記載の電子 デバイス材料の製造方法。
1 9. 前記窒化プラズマが、 0. 5〜 4 c m 2 の出力で形成 される請求項 1 0〜 1 8のいずれかに記載の電子デバイス材料の製 造方法。
2 0. O 2 および希ガスを少なく とも含む処理ガスの存在下で、 複数のス リ ッ トを有する平面アンテナ部材を介するマイク口波照射 に基づく プラズマを用いて、 S i を主成分とする被処理基体の表面 に下地酸化膜 ( S i O 2 膜) を形成する工程と ;
N 2 と希ガスとを少なく とも含む処理ガスの存在下で、 複数のス リ ッ トを有する平面アンテナ部材を介するマイクロ波照射に基づく 窒化プラズマを用いて、 前記下地 S i O 2 膜表面を窒化する工程と 前記 S i O 2 膜または表面窒化した下地 S i O2 膜 ( S i ON膜 ) を有する被処理基体を、 層形成ガスの存在下に加熱して、 前記 S i O2 膜または S i ON膜上に電極層を形成する工程と ; を含むことを特徴とする電子デパイス材料の製造方法。
2 1. 前記電極層が、 ポリシリ コンまたはアモルファスシリ コン または S i G eからなる電極層である請求項 2 0に記載の電子デバ ィス材料の製造方法。
2 2. 前記電子デバィスが半導体装置である請求項 2 0または 2 1に記載の電子デバイス材料の製造方法。
2 3. 前記電極層がゲート電極である請求項 2 0〜 2 2のいずれ かに記載の電子デバイス材料の製造方法。
2 4. 前記層形成ガスが S i H 4 であり、 圧力が 2 0. 0〜 4 0 P a ( 1 5 0 ~ 3 0 0 mT o r r ) であり、 温度が 5 7 0〜 6 5 0 でであり、 形成されるゲート電極がポリ シリ コンからなる請求項 2 0〜 2 3のいずれかに記載の電子デパイス材料の製造方法。
2 5. 前記層形成ガスが S i H 4 であり、 圧力が 2 0. 0〜 6 6 . 7 P a ( 1 5 0〜 5 0 0 mT o r r ) であり、 温度が 5 2 0〜 5 7 0 °Cであり、 形成されるゲート電極が、 アモルファスシリ コンか らなる請求項 2 0〜 2 3のいずれかに記載の電子デパイス材料の製 造方法。
2 6. 前記層形成ガスが G e H4 Z S i H 4 = 1 0 Z 9 0〜 6 0 / 4 0 %の混合ガスであり、 圧力が 2 0〜 6 0 P aであり、 温度が 46 0〜 5 6 0 °Cであり、 形成されるゲート電極が S i G e力、らな る請求項 2 0〜 2 3のいずれかに記載の電子デパイス材料の製造方 法。
PCT/JP2002/000439 2001-01-22 2002-01-22 Procede de production WO2002058130A1 (fr)

Priority Applications (7)

Application Number Priority Date Filing Date Title
CNB028039912A CN100477113C (zh) 2001-01-22 2002-01-22 电子器件材料的制造方法
US10/466,872 US20040142577A1 (en) 2001-01-22 2002-01-22 Method for producing material of electronic device
KR1020037009626A KR100837707B1 (ko) 2001-01-22 2002-01-22 전자 디바이스 재료의 제조 방법, 플라즈마 처리 방법, 및 산질화막 형성 시스템
EP02715873A EP1361605A4 (en) 2001-01-22 2002-01-22 METHOD FOR PRODUCING MATERIAL OF AN ELECTRONIC COMPONENT
KR1020067008751A KR100746120B1 (ko) 2001-01-22 2002-01-22 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법
JP2002558321A JP3916565B2 (ja) 2001-01-22 2002-01-22 電子デバイス材料の製造方法
US11/153,551 US20050233599A1 (en) 2001-01-22 2005-06-16 Method for producing material of electronic device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001012917 2001-01-22
JP2001-12917 2001-01-22

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/153,551 Continuation US20050233599A1 (en) 2001-01-22 2005-06-16 Method for producing material of electronic device

Publications (1)

Publication Number Publication Date
WO2002058130A1 true WO2002058130A1 (fr) 2002-07-25

Family

ID=18879853

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/000439 WO2002058130A1 (fr) 2001-01-22 2002-01-22 Procede de production

Country Status (6)

Country Link
US (3) US20040142577A1 (ja)
EP (1) EP1361605A4 (ja)
JP (3) JP3916565B2 (ja)
KR (4) KR100994387B1 (ja)
CN (2) CN100477113C (ja)
WO (1) WO2002058130A1 (ja)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004175927A (ja) * 2002-11-27 2004-06-24 Canon Inc 表面改質方法
JP2005354041A (ja) * 2004-05-11 2005-12-22 Tokyo Electron Ltd 基板の処理方法及び電子装置
WO2006046634A1 (ja) * 2004-10-28 2006-05-04 Tokyo Electron Limited ゲート絶縁膜の形成方法,半導体装置及びコンピュータ記録媒体
JPWO2004073073A1 (ja) * 2003-02-13 2006-06-01 東京エレクトロン株式会社 半導体装置の製造方法および半導体製造装置
EP1670049A1 (en) * 2003-09-17 2006-06-14 Tokyo Electron Limited Production of insulating film with low dielectric constant
WO2006082730A1 (ja) * 2005-02-01 2006-08-10 Tokyo Electron Limited 半導体装置の製造方法およびプラズマ酸化処理方法
JP2006310736A (ja) * 2005-03-30 2006-11-09 Tokyo Electron Ltd ゲート絶縁膜の製造方法および半導体装置の製造方法
WO2006132262A1 (ja) * 2005-06-08 2006-12-14 Tohoku University プラズマ窒化処理方法、半導体装置の製造方法およびプラズマ処理装置
JP2007013200A (ja) * 2001-01-22 2007-01-18 Tokyo Electron Ltd 電子デバイス材料の製造方法
JP2007504652A (ja) * 2003-08-26 2007-03-01 インターナショナル・ビジネス・マシーンズ・コーポレーション 窒化シリコン酸化物ゲート誘電体を製造する方法
WO2007029580A1 (ja) * 2005-09-08 2007-03-15 Tokyo Electron Limited マグネトロンの制御方法、マグネトロンの寿命判定方法、マイクロ波発生装置、マグネトロンの寿命判定装置、処理装置、コンピュータプログラム及び記憶媒体
WO2008041600A1 (fr) * 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation par plasma, appareil de traitement au plasma et support de stockage
JP2008535243A (ja) * 2005-03-30 2008-08-28 東京エレクトロン株式会社 酸窒化層を形成する方法及びシステム
CN100429753C (zh) * 2003-02-06 2008-10-29 东京毅力科创株式会社 等离子体处理方法、半导体基板以及等离子体处理装置
EP1505641A4 (en) * 2002-05-13 2008-11-05 Tokyo Electron Ltd PROCESS FOR TREATING A SUBSTRATE
JP2009200483A (ja) * 2008-01-24 2009-09-03 Tokyo Electron Ltd シリコン酸化膜の形成方法
US7820557B2 (en) 2005-03-31 2010-10-26 Tokyo Electron Limited Method for nitriding substrate and method for forming insulating film
US7875322B2 (en) 2005-01-07 2011-01-25 Tokyo Electron Limited Plasma processing method
US7910493B2 (en) 2005-04-15 2011-03-22 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device, plasma nitriding treatment method, control program and computer storage medium
JP2011204687A (ja) * 2011-05-20 2011-10-13 Tokyo Electron Ltd マグネトロンの寿命判定方法、マグネトロンの寿命判定装置及び処理装置
US8043979B2 (en) 2006-09-29 2011-10-25 Tokyo Electron Limited Plasma oxidizing method, storage medium, and plasma processing apparatus
KR101163276B1 (ko) 2006-09-29 2012-07-05 도쿄엘렉트론가부시키가이샤 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
JP5073645B2 (ja) * 2006-02-28 2012-11-14 東京エレクトロン株式会社 プラズマ酸化処理方法および半導体装置の製造方法
JP2022513627A (ja) * 2018-11-30 2022-02-09 アプライド マテリアルズ インコーポレイテッド パターニングされた基板とパターニングされていない基板への堆積膜の連続堆積及び高周波プラズマ処理

Families Citing this family (303)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4334225B2 (ja) * 2001-01-25 2009-09-30 東京エレクトロン株式会社 電子デバイス材料の製造方法
WO2003098678A1 (fr) * 2002-05-16 2003-11-27 Tokyo Electron Limited Procede de traitement de substrat
US7122454B2 (en) * 2002-06-12 2006-10-17 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20040262701A1 (en) * 2003-06-24 2004-12-30 Texas Instruments Incorporated Nitridation process for independent control of device gate leakage and drive current
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7517814B2 (en) 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
US8318554B2 (en) * 2005-04-28 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method of forming gate insulating film for thin film transistors using plasma oxidation
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
US7713876B2 (en) 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US7517812B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
US7517818B2 (en) * 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
KR100649874B1 (ko) * 2005-12-29 2006-11-27 동부일렉트로닉스 주식회사 에스오아이 웨이퍼를 이용한 트랜지스터 제조 방법
KR100745370B1 (ko) * 2006-01-20 2007-08-02 삼성전자주식회사 반도체 디바이스의 절연막 제조방법
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
JP4864661B2 (ja) * 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
KR100850138B1 (ko) * 2006-12-26 2008-08-04 동부일렉트로닉스 주식회사 반도체 소자의 게이트 절연막 및 그 형성방법
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
JP4593652B2 (ja) * 2008-06-06 2010-12-08 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
KR101111962B1 (ko) * 2008-10-24 2012-06-12 한국기초과학지원연구원 질소원자빔을 이용한 질화막 형성장치 및 방법
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529774B (zh) * 2010-03-31 2016-04-11 Tokyo Electron Ltd Plasma Nitriding Process and Plasma Processing Device
US8753456B2 (en) * 2010-06-25 2014-06-17 Apple Inc. Selective nitriding on a 3D surface
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011107072B8 (de) * 2011-07-12 2013-01-17 Centrotherm Thermal Solutions Gmbh & Co. Kg Verfahren zum ausbilden einer oxidschicht auf einem substrat bei tiefen temperaturen
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102293862B1 (ko) 2014-09-15 2021-08-25 삼성전자주식회사 반도체 소자의 제조 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114684797B (zh) * 2022-03-08 2023-10-13 中国科学院过程工程研究所 一种制备纯相多壳层Si2N2O空心球形粉体的系统和方法
WO2024043908A1 (en) * 2022-08-25 2024-02-29 L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedesgeorges Claude A method for converting an existing industrial unit to produce hydrogen from ammonia

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321619A (ja) * 1997-05-21 1998-12-04 Nec Corp 酸化シリコン膜およびその形成方法と成膜装置
JPH11293470A (ja) * 1998-04-10 1999-10-26 Tokyo Electron Ltd シリコン酸化膜の成膜方法および装置
JP2000260767A (ja) * 1999-03-10 2000-09-22 Tokyo Electron Ltd 半導体装置の製造方法
JP2000294550A (ja) * 1999-04-05 2000-10-20 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US123456A (en) 1872-02-06 Improvement in blackboards
US5254503A (en) * 1992-06-02 1993-10-19 International Business Machines Corporation Process of making and using micro mask
EP0847079A3 (en) * 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
DE69807006T2 (de) * 1997-05-22 2003-01-02 Canon Kk Plasmabehandlungsvorrichtung mit einem mit ringförmigem Wellenleiter versehenen Mikrowellenauftragsgerät und Behandlungsverfahren
EP0895282A3 (en) * 1997-07-30 2000-01-26 Canon Kabushiki Kaisha Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
JP2000130527A (ja) * 1998-10-30 2000-05-12 Nissan Motor Co Ltd Vベルト式無段変速機用プーリー及び無段変速機
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP2000332009A (ja) * 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
US6214681B1 (en) * 2000-01-26 2001-04-10 Advanced Micro Devices, Inc. Process for forming polysilicon/germanium thin films without germanium outgassing
CN100477113C (zh) * 2001-01-22 2009-04-08 东京毅力科创株式会社 电子器件材料的制造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321619A (ja) * 1997-05-21 1998-12-04 Nec Corp 酸化シリコン膜およびその形成方法と成膜装置
JPH11293470A (ja) * 1998-04-10 1999-10-26 Tokyo Electron Ltd シリコン酸化膜の成膜方法および装置
JP2000260767A (ja) * 1999-03-10 2000-09-22 Tokyo Electron Ltd 半導体装置の製造方法
JP2000294550A (ja) * 1999-04-05 2000-10-20 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1361605A4 *

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007013200A (ja) * 2001-01-22 2007-01-18 Tokyo Electron Ltd 電子デバイス材料の製造方法
JP2010050462A (ja) * 2001-01-22 2010-03-04 Tokyo Electron Ltd 電子デバイス材料の製造方法
EP1505641A4 (en) * 2002-05-13 2008-11-05 Tokyo Electron Ltd PROCESS FOR TREATING A SUBSTRATE
JP2004175927A (ja) * 2002-11-27 2004-06-24 Canon Inc 表面改質方法
CN100429753C (zh) * 2003-02-06 2008-10-29 东京毅力科创株式会社 等离子体处理方法、半导体基板以及等离子体处理装置
JPWO2004073073A1 (ja) * 2003-02-13 2006-06-01 東京エレクトロン株式会社 半導体装置の製造方法および半導体製造装置
US8709887B2 (en) 2003-08-26 2014-04-29 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
JP2007504652A (ja) * 2003-08-26 2007-03-01 インターナショナル・ビジネス・マシーンズ・コーポレーション 窒化シリコン酸化物ゲート誘電体を製造する方法
EP1670049A4 (en) * 2003-09-17 2008-06-04 Tokyo Electron Ltd PREPARATION OF A LOW DIELECTRICITY CONSTANT INSULATION FILM
US7645481B2 (en) 2003-09-17 2010-01-12 Tokyo Electron Limited Fabrication of low dielectric constant insulating film
EP1670049A1 (en) * 2003-09-17 2006-06-14 Tokyo Electron Limited Production of insulating film with low dielectric constant
JP2005354041A (ja) * 2004-05-11 2005-12-22 Tokyo Electron Ltd 基板の処理方法及び電子装置
JP4555143B2 (ja) * 2004-05-11 2010-09-29 東京エレクトロン株式会社 基板の処理方法
US7674722B2 (en) 2004-10-28 2010-03-09 Tokyo Electron Limited Method of forming gate insulating film, semiconductor device and computer recording medium
WO2006046634A1 (ja) * 2004-10-28 2006-05-04 Tokyo Electron Limited ゲート絶縁膜の形成方法,半導体装置及びコンピュータ記録媒体
US7915177B2 (en) 2004-10-28 2011-03-29 Toyko Electron Limited Method of forming gate insulation film, semiconductor device, and computer recording medium
US7875322B2 (en) 2005-01-07 2011-01-25 Tokyo Electron Limited Plasma processing method
WO2006082730A1 (ja) * 2005-02-01 2006-08-10 Tokyo Electron Limited 半導体装置の製造方法およびプラズマ酸化処理方法
JP5252913B2 (ja) * 2005-02-01 2013-07-31 東京エレクトロン株式会社 半導体装置の製造方法およびプラズマ酸化処理方法
US7906440B2 (en) 2005-02-01 2011-03-15 Tokyo Electron Limited Semiconductor device manufacturing method and plasma oxidation method
JP2008535243A (ja) * 2005-03-30 2008-08-28 東京エレクトロン株式会社 酸窒化層を形成する方法及びシステム
JP2006310736A (ja) * 2005-03-30 2006-11-09 Tokyo Electron Ltd ゲート絶縁膜の製造方法および半導体装置の製造方法
US7820557B2 (en) 2005-03-31 2010-10-26 Tokyo Electron Limited Method for nitriding substrate and method for forming insulating film
JP4979575B2 (ja) * 2005-03-31 2012-07-18 東京エレクトロン株式会社 基板の窒化処理方法および絶縁膜の形成方法
US7910493B2 (en) 2005-04-15 2011-03-22 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device, plasma nitriding treatment method, control program and computer storage medium
US7968470B2 (en) 2005-06-08 2011-06-28 Tohoku University Plasma nitriding method, method for manufacturing semiconductor device and plasma processing apparatus
JP2013225682A (ja) * 2005-06-08 2013-10-31 Tohoku Univ プラズマ窒化処理方法および半導体装置の製造方法
WO2006132262A1 (ja) * 2005-06-08 2006-12-14 Tohoku University プラズマ窒化処理方法、半導体装置の製造方法およびプラズマ処理装置
JP2007073395A (ja) * 2005-09-08 2007-03-22 Tokyo Electron Ltd マグネトロンの制御方法、マグネトロンの寿命判定方法、マイクロ波発生装置、マグネトロンの寿命判定装置、処理装置及び記憶媒体
US7915827B2 (en) 2005-09-08 2011-03-29 Tokyo Electron Limited Magnetron control method, magnetron service life judgment method, microwave generation device, magnetron service life judgment device, processing device, computer program, and storage medium
WO2007029580A1 (ja) * 2005-09-08 2007-03-15 Tokyo Electron Limited マグネトロンの制御方法、マグネトロンの寿命判定方法、マイクロ波発生装置、マグネトロンの寿命判定装置、処理装置、コンピュータプログラム及び記憶媒体
JP5073645B2 (ja) * 2006-02-28 2012-11-14 東京エレクトロン株式会社 プラズマ酸化処理方法および半導体装置の製造方法
US7910495B2 (en) 2006-09-29 2011-03-22 Tokyo Electron Limited Plasma oxidizing method, plasma processing apparatus, and storage medium
KR101140694B1 (ko) * 2006-09-29 2012-05-03 도쿄엘렉트론가부시키가이샤 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
KR101163276B1 (ko) 2006-09-29 2012-07-05 도쿄엘렉트론가부시키가이샤 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
KR101089988B1 (ko) * 2006-09-29 2011-12-05 도쿄엘렉트론가부시키가이샤 플라즈마 산화 처리 방법, 플라즈마 처리 장치 및 기억 매체
US8043979B2 (en) 2006-09-29 2011-10-25 Tokyo Electron Limited Plasma oxidizing method, storage medium, and plasma processing apparatus
JP5231232B2 (ja) * 2006-09-29 2013-07-10 東京エレクトロン株式会社 プラズマ酸化処理方法、プラズマ処理装置、及び、記憶媒体
WO2008041600A1 (fr) * 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation par plasma, appareil de traitement au plasma et support de stockage
TWI416627B (zh) * 2006-09-29 2013-11-21 Tokyo Electron Ltd A plasma oxidation treatment method and a plasma processing apparatus
JP2009200483A (ja) * 2008-01-24 2009-09-03 Tokyo Electron Ltd シリコン酸化膜の形成方法
JP2011204687A (ja) * 2011-05-20 2011-10-13 Tokyo Electron Ltd マグネトロンの寿命判定方法、マグネトロンの寿命判定装置及び処理装置
JP2022513627A (ja) * 2018-11-30 2022-02-09 アプライド マテリアルズ インコーポレイテッド パターニングされた基板とパターニングされていない基板への堆積膜の連続堆積及び高周波プラズマ処理
JP7333397B2 (ja) 2018-11-30 2023-08-24 アプライド マテリアルズ インコーポレイテッド パターニングされた基板とパターニングされていない基板への堆積膜の連続堆積及び高周波プラズマ処理

Also Published As

Publication number Publication date
US20050233599A1 (en) 2005-10-20
KR20060061404A (ko) 2006-06-07
KR100837707B1 (ko) 2008-06-13
JP3916565B2 (ja) 2007-05-16
CN1860596A (zh) 2006-11-08
JPWO2002058130A1 (ja) 2004-05-27
KR20090053965A (ko) 2009-05-28
KR20070116696A (ko) 2007-12-10
JP2010050462A (ja) 2010-03-04
KR100746120B1 (ko) 2007-08-13
JP2007013200A (ja) 2007-01-18
JP4926219B2 (ja) 2012-05-09
EP1361605A1 (en) 2003-11-12
EP1361605A4 (en) 2006-02-15
JP4401375B2 (ja) 2010-01-20
US20040142577A1 (en) 2004-07-22
US20070224837A1 (en) 2007-09-27
KR20030070126A (ko) 2003-08-27
CN101399198A (zh) 2009-04-01
CN100477113C (zh) 2009-04-08
KR100994387B1 (ko) 2010-11-16

Similar Documents

Publication Publication Date Title
JP3916565B2 (ja) 電子デバイス材料の製造方法
JP4255563B2 (ja) 半導体製造方法及び半導体製造装置
KR100856531B1 (ko) 반도체 제조방법 및 반도체 제조장치
JP4334225B2 (ja) 電子デバイス材料の製造方法
JP4001498B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成システム
JP4429300B2 (ja) 電子デバイス材料の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
JP4408653B2 (ja) 基板処理方法および半導体装置の製造方法
JPWO2003088342A1 (ja) 電子デバイス材料の製造方法
WO2003088345A1 (fr) Materiau pour dispositif electronique et procede de fabrication correspondant
JP4361078B2 (ja) 絶縁膜の形成方法
JP4850861B2 (ja) 絶縁膜形成方法、絶縁膜形成装置及びプラズマ処理ユニット

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2002558321

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 10466872

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020037009626

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 028039912

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2002715873

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020037009626

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2002715873

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWR Wipo information: refused in national office

Ref document number: 1020037009626

Country of ref document: KR