KR20030070126A - 전자 디바이스 재료의 제조 방법 - Google Patents

전자 디바이스 재료의 제조 방법 Download PDF

Info

Publication number
KR20030070126A
KR20030070126A KR10-2003-7009626A KR20037009626A KR20030070126A KR 20030070126 A KR20030070126 A KR 20030070126A KR 20037009626 A KR20037009626 A KR 20037009626A KR 20030070126 A KR20030070126 A KR 20030070126A
Authority
KR
South Korea
Prior art keywords
film
electronic device
sio
gas
device material
Prior art date
Application number
KR10-2003-7009626A
Other languages
English (en)
Other versions
KR100837707B1 (ko
Inventor
스가와라다쿠야
나카니시도시오
오자키시게노리
마츠야마세이지
무라카와시게미
다다요시히데
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20030070126A publication Critical patent/KR20030070126A/ko
Application granted granted Critical
Publication of KR100837707B1 publication Critical patent/KR100837707B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/34Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases more than one element being applied in more than one step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/2807Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 매우 얇은(예컨대 2.5 nm 이하) 막 두께를 갖는 절연막으로서 SiO2막 및 SiON막을 이용하고, 전극으로서 폴리실리콘, 비결정질 실리콘, SiGe를 이용한 양호한 전기 특성을 갖는 전자 디바이스(예컨대 고성능 MOS형 반도체 장치) 구조의 제조 방법을 제공하는 것을 목적으로 한다. 산소 및 희가스를 함유하는 처리 가스의 존재 하에서, Si를 주성분으로 하는 웨이퍼(W) 상에 평면 안테나 부재 SPA를 통해 마이크로파를 조사함으로써, 산소와 희가스를 함유하는 플라즈마(내지 질소와 희가스를 함유하는 플라즈마, 또는 질소와 희가스와 수소를 함유하는 플라즈마)를 형성한다. 이 플라즈마를 이용하여 상기 웨이퍼 표면에 산화막(내지 산질화막)을 형성하고, 필요에 따라 폴리실리콘, 비결정질 실리콘, SiGe의 전극을 형성하여 전자 디바이스 구조를 형성한다.

Description

전자 디바이스 재료의 제조 방법{METHOD FOR PRODUCING MATERIAL OF ELECTRONIC DEVICE}
본 발명의 제조 방법은 반도체 내지 반도체 장치, 액정 디바이스 등의 전자 디바이스 재료의 제조에 일반적으로 널리 적용 가능하지만, 여기서는 설명의 편의를 위해 반도체 장치(devices)의 배경 기술을 예로 들어 설명한다.
최근의 반도체 장치의 미세화에 따라, 얇고 또한 양질인 실리콘 산화막(SiO2막)에 대한 필요성이 현저히 높아지고 있다. 예컨대, 반도체 장치의 구성으로서 가장 일반적인 MOS형 반도체 구조에 있어서는, 소위 스케일링 룰에 따라 매우 얇고(예컨대 2.5 nm 이하 정도) 또한 양질인 게이트 절연막(SiO2막)에 대한 필요성이 매우 높아지고 있다.
종래부터, 이러한 게이트 절연막 재료로서는, 850 ℃∼1000 ℃ 정도의 고온가열로를 이용하여 실리콘 기판을 직접 산화하여 얻어지는 실리콘 산화막(SiO2막)이 공업적으로 사용되어 왔다.
그러나, 이러한 SiO2막을 단순히 2.5 nm 이하로 얇게 했을 경우에는, 이 게이트 절연막을 흐르는 누설 전류(게이트 누설 전류)가 커지고, 소비 전력의 증대나 디바이스 특성 열화의 가속 등의 문제가 발생하고 있었다.
또한, 종래의 얇은 게이트 절연막을 이용했을 때에는, 게이트 전극 형성 시에 그 게이트에 함유되는 붕소가 SiO2막을 관통하여 게이트 절연막의 하지(下地)인 실리콘 기판에 이르러, 반도체 디바이스 특성을 열화시킨다고 하는 문제도 발생하고 있었다. 이러한 문제점을 해결하는 하나의 방법으로서, 게이트 절연막 재료로서 산질화막(SiON막)을 사용하는 것이 검토되고 있다.
그러나, 이러한 Si0N막을 직접 그리고 단순히 열산질화법에 의해 형성하면, 실리콘 기판과의 계면에 다수의 질소가 함유되어, 디바이스 특성이 열화되는 경향을 피하기 어렵다. 또한, 열산화막과 CVD(화학 기상 성장법)에 의한 SiN막 형성을 조합한 SiO2/SiN 스택 구조에서는, SiO2/SiN 계면에 캐리어의 트랩이 생겨, 디바이스 특성이 열화되는 경향이 있다. 그 때문에, 이러한 SiON막 형성에 있어서는, 플라즈마를 이용하여 SiO2막을 질화하는 방법이 유망하다고 생각된다. 플라즈마 질화는 일반적으로 계면 준위가 작고 또한 산화막 표면에 높은 질소 함유율(수 퍼센트)을 갖는 고품질의 게이트 산질화막을 부여하기 쉽기 때문이다. 또한, 플라즈마를이용한 경우에는, 저온에서 질화를 행하는 것이 용이하다고 하는 이점이 있다.
SiO2막을 가열에 의해 질화하고자 하면 통상은 1000 ℃ 이상의 고온이 필요하기 때문에, 이 열공정에 의해 실리콘 기판에 주입된 도펀트가 차이 확산함으로써, 디바이스 특성이 열화되는 경향이 있다(이러한 방법은 일본 특허 공개 소화 제55-134937호 공보나 일본 특허 공개 소화 제59-4059호 공보 등에 개시되어 있음).
이와 같이 플라즈마의 사용에는 각종 이점이 있지만, 반면에 플라즈마를 이용하여 질화를 행하는 경우, 플라즈마 손상이 발생하여, 디바이스 특성의 열화가 생길 가능성이 있다.
본 발명은 전자 디바이스 재료의 제조에 적합하게 사용 가능한 방법에 관한 것이다. 본 발명의 전자 디바이스 재료의 제조 방법은 예컨대 반도체 내지 반도체 장치(예컨대, MOS형 반도체 구조를 갖는 것) 재료를 형성하기 위해서 적합하게 사용하는 것이 가능하다.
도 1a 및 도 1b는 본 발명의 전자 디바이스 재료의 제조 방법에 의해 제조 가능한 반도체 장치의 일례를 도시하는 모식적인 수직 단면도.
도 2는 본 발명의 전자 디바이스 재료의 제조 방법을 실시하기 위한 반도체 제조 장치의 일례를 도시하는 모식 평면도.
도 3은 본 발명의 전자 디바이스 재료의 제조 방법에 사용 가능한 슬릿 플레인 안테나(Slit Plane Antenna; 이하, 「SPA」라고 약기함) 플라즈마 처리 유닛의 일례를 도시하는 모식적인 수직 단면도.
도 4는 본 발명의 전자 디바이스 재료의 제조 장치에 사용 가능한 SPA의 일례를 도시하는 모식적인 평면도.
도 5는 본 발명의 전자 디바이스 재료의 제조 방법에 사용 가능한 가열 반응로 유닛의 일례를 도시하는 모식적인 수직 단면도.
도 6은 본 발명의 제조 방법에 있어서의 각 공정의 일례를 도시하는 흐름도.
도 7a 및 도 7b는 본 발명의 방법에 의한 막 형성의 일례를 도시하는 모식 단면도.
도 8은 본 발명의 방법에 의해 얻어진 MOS 반도체 구조의 누설 특성을 도시하는 그래프.
도 9는 본 발명의 방법에 의해 얻어진 게이트 누설 전류 특성을 도시하는 그래프.
도 10은 본 발명의 방법에 의해 얻어진 산질화막의 SIMS 분석 결과를 도시하는 그래프.
<도면의 주요부분에 대한 부호의 설명〉
W : 웨이퍼(피처리 기판)
60 : SPA(평면 안테나 부재)
2 : 산화막
2a : 질소 함유층
32 : 플라즈마 처리 유닛(프로세스 챔버)
33 : 플라즈마 처리 유닛(프로세스 챔버)
47 : 가열 반응로
본 발명의 목적은 상기한 종래 기술에 있어서의 문제점을 해소 가능한 전자 디바이스 재료의 제조 방법을 제공하는 것에 있다.
즉, 본 발명의 다른 목적은 매우 얇고(예컨대 막 두께 2.5 nm 이하) 또한 양질인 산화막 및/또는 산질화막을 구비하는 전자 디바이스 구조를 제조 가능한 방법을 제공하는 것에 있다.
본 발명의 다른 목적은 매우 얇고(예컨대 막 두께 2.5 nm 이하) 또한 고품질인 게이트 산화막 및/또는 산질화막을 구비하는 MOS형 반도체 구조를 형성 가능한 전자 디바이스 재료의 제조 방법을 제공하는 것에 있다.
본 발명의 전자 디바이스 재료의 제조 방법은 적어도 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 산화막(SiO2막)을 형성하는 것을 특징으로 하는 것이다.
본 발명에 따르면, 또한 적어도 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 하지 산화막(SiO2막)을 형성하는 공정과;
적어도 N2와 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 질화 플라즈마를 이용하여, 상기 하지 SiO2막 표면을 질화하는 공정을 포함하는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법이 제공된다.
본 발명에 따르면, 또한 적어도 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 하지 산화막(SiO2막)을 형성하는 공정과;
적어도 N2와 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 질화 플라즈마를 이용하여상기 하지 SiO2막 표면을 질화하는 공정과;
상기 SiO2막 또는 표면 질화한 하지 SiO2막(SiON막)을 갖는 피처리 기판을 층형성 가스의 존재 하에 가열하여 상기 SiO2막 또는 SiON막 상에 전극층을 형성하는 공정을 포함하는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법이 제공된다.
이하, 필요에 따라 도면을 참조하면서, 본 발명을 상세히 설명한다. 이하의 기재에 있어서 량 비를 나타내는 「부」 및 「%」는 특별히 거절하지 않는 한 질량 기준으로 한다.
(산화막의 형성)
본 발명의 적합한 일 형태에 있어서는, 적어도 O2및 희가스를 함유하는 처리 가스(내지는 처리 가스 분위기; 이하 동일)의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 산화막(SiO2막)을 형성할 수 있다.
본 발명에 사용 가능한 피처리 기판은 Si를 주성분으로 하는 한 특별히 제한되지 않지만, 예컨대 실리콘(단결정 실리콘 등), 유리 등의 공지의 전자 디바이스용 기판을 적합하게 사용할 수 있다.
(처리 가스)
본 발명에 있어서 산화막 형성에 있어서는, 처리 가스는 적어도 O2및 희가스를 함유한다. 이 때에 사용 가능한 희가스는 특별히 제한되지 않고, 공지의 희가스(내지는 그 2 종류 이상의 조합)로부터 적절하게 선택하여 사용할 수 있다. 막질의 관점에서는 희가스로서 크립톤, 아르곤 또는 헬륨을 적합하게 사용할 수 있다.
(산화막의 형성 조건)
본 발명을 산화막의 형성에 이용하는 형태에 있어서는, 형성되어야 할 산화막의 특성의 관점에서는 하기의 조건을 적합하게 사용할 수 있다.
O2: 5∼500 sccm, 보다 바람직하게는 50∼500 sccm,
희가스(예컨대, Kr, Ar 또는 He) : 500∼3000 sccm, 보다 바람직하게는 500∼2000 sccm, 특히 바람직하게는 1000∼2000 sccm,
온도 : 실온(25 ℃)∼700 ℃, 보다 바람직하게는 200∼700 ℃, 특히 바람직하게는 200∼500 ℃,
압력 : 20∼5000 mTorr, 보다 바람직하게는 500∼3000 mTorr, 특히 바람직하게는 1000∼2000 mTorr,
마이크로파 : 0.5∼5 W/cm2, 보다 바람직하게는 0.5∼4 W/cm2.
(적합한 조건의 예)
본 발명의 제조 방법에 있어서, 형성되어야 할 산화막의 특성의 관점에서는 하기의 각 조건을 적합한 예로서 들 수 있다.
처리 가스의 적합한 일례 : 유량 50∼500 sccm의 O2및 유량 500∼2000 sccm의 크립톤, 아르곤 또는 헬륨을 함유하는 가스.
SiO2막의 형성 시의 온도의 적합한 일례 : 300∼700 ℃의 온도를 들 수 있다.
SiO2막 형성의 압력의 적합한 일례로서, 2.7∼270 Pa(20∼2000 mTorr)를 들 수 있다.
SiO2막의 형성 시의 플라즈마의 적합한 일례로서, 1∼4 W/cm2의 출력으로 형성되는 플라즈마.
(SiO2산화막의 질화)
본 발명에 있어서는, 필요에 따라 평면 안테나 부재를 통한 마이크로파 조사에 기초한 질화 플라즈마를 이용함으로써, SiO2산화막을 적합하게 질화할 수 있다. 이 때에 질화해야 할 Si02산화막은 특별히 제한되지 않지만, 막질, 생산성의 관점에서는 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 형성한 하지 산화막(SiO2막)인 것이 바람직하다.
즉, 본 발명의 적합한 다른 형태에 있어서는, O2및 희가스를 함유하는 처리 가스의 존재 하에서, 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 하지 산화막(SiO2막)을 형성하고, 계속해서, 적어도 N2와 희가스를 함유하는 처리 가스의 존재 하에서, 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, 상기 하지 SiO2막 표면을 질화할 수 있다.
(처리 가스)
본 발명의 상기한 SiO2산화막의 질화의 형태에 있어서, 처리 가스는 적어도 N2와 희가스를 함유한다. 이 때에 사용 가능한 희가스는 특별히 제한되지 않고, 공지의 희가스(내지는 그 2 종류 이상의 조합)로부터 적절하게 선택하여 사용할 수 있다. 막질의 관점에서는 희가스로서 크립톤, 아르곤 또는 헬륨을 적합하게 사용할 수 있다.
(산화막의 질화 조건)
본 발명을 산화막의 형성에 이용하는 형태에 있어서는, 형성되어야 할 표면 질화의 산화막의 특성의 관점에서는 하기의 질화 조건을 적합하게 사용할 수 있다.
N2: 2∼500 sccm, 보다 바람직하게는 4∼200 sccm,
희가스(예컨대, Kr, Ar, 또는 He) : 200∼2000 sccm, 보다 바람직하게는 500∼2000 sccm, 특히 바람직하게는 1000∼2000 sccm,
H2: 1∼100 sccm, 보다 바람직하게는 2∼50 sccm, 특히 바람직하게는 5∼30 sccm,
온도 : 실온(25 ℃)∼700 ℃, 보다 바람직하게는 200∼500 ℃,
압력 : 10∼3000 mTorr, 보다 바람직하게는 20∼1000 mTorr, 특히 바람직하게는 50∼1000 mTorr,
마이크로파 : 0.5∼4 W/cm2, 보다 바람직하게는 0.5∼3 W/cm2.
(적합한 조건의 예)
본 발명의 제조 방법에 있어서, 형성되어야 할 표면 질화의 산화막의 특성의 관점에서는 하기의 조건을 적합한 예로서 들 수 있다.
SiO2막의 질화 시의 처리 가스의 적합한 일례 : 유량 4∼200 sccm의 N2및 유량 500∼2000 sccm의 크립톤, 아르곤 또는 헬륨을 함유하는 가스; 또는 유량 4∼200 sccm의 N2, 유량 500∼2000 sccm의 크립톤, 아르곤 또는 헬륨 및 유량 2∼30sccm의 H2를 함유하는 가스.
SiO2막의 질화 시의 온도의 적합한 일례 : 실온∼700 ℃의 온도를 들 수 있다.
SiO2막 질화 시의 압력의 적합한 일례로서, 2.7∼135 Pa(20∼1000 mTorr)를 들 수 있다.
SiO2막의 질화 시의 플라즈마의 적합한 일례로서, 0.5∼3 W/cm2의 출력으로 형성되는 플라즈마.
(전극층 형성의 형태)
본 발명에 있어서는, 필요에 따라 SiO2막 또는 SiON막 상에 전극층을 형성할 수 있다. 이 전극층으로서는, 디바이스 특성의 관점에서는 폴리실리콘 또는 비결정질 실리콘 또는 SiGe로 이루어지는 전극층을 적합하게 이용할 수 있다. 이 때에 이용하는 하지 SiO2막 또는 SiON막은 특별히 제한되지 않지만, 디바이스 특성, 생산성의 관점에서는 적어도 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 형성한 하지 산화막(SiO2막); 또는 적어도 N2와 희가스를 함유하는 처리 가스의 존재 하에서, 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여 형성한 SiON막인 것이 바람직하다.
즉, 본 발명의 적합한 일 형태에 있어서는, 적어도 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 하지 산화막(SiO2막)을 형성하고;
적어도 N2와 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 질화 플라즈마를 이용하여, 상기 하지 SiO2막 표면을 질화하며;
상기 SiO2막 또는 표면 질화한 하지 SiO2막(SiON막)을 구비한 피처리 기판을 층형성 가스의 존재 하에 가열하여 상기 SiO2막 또는 SiON막 상에 전극층(예컨대 폴리실리콘 또는 비결정질 실리콘 또는 SiGe로 이루어지는 전극층)을 형성할 수 있다.
(전극 형성 가스)
본 발명에 있어서 사용 가능한 전극 형성 가스는 특별히 제한되지 않고, 형성해야 할 전극층의 재질에 따라 공지의 전극 형성 가스 중 어느 하나 또는 이들 2 종 이상의 조합으로부터 적절하게 선택하여 사용할 수 있다.
형성해야 할 전극이 폴리실리콘으로 이루어지는 경우에는, 디바이스 특성, 생산성의 관점에서는 상기 전극 형성 가스가 SiH4인 것이 바람직하다. 이 경우에, 적합한 전극 형성 조건은 이하와 같다:
압력 : 20.0∼40 Pa(150∼300 mTorr), 보다 바람직하게는 26∼33.3 Pa(200∼250 mTorr),
온도 : 570∼650 ℃, 보다 바람직하게는 600∼630 ℃.
형성해야 할 전극이 비결정질 실리콘으로 이루어지는 경우에는, 디바이스 특성, 생산성의 관점에서는 상기 전극 형성 가스가 SiH4인 것이 바람직하다. 이 경우에, 적합한 전극 형성 조건은 이하와 같다:
압력 : 20.0∼66.7 Pa(150∼500 mTorr),
온도 : 520∼570 ℃.
형성해야 할 전극이 SiGe로 이루어지는 경우에는, 디바이스 특성의 관점에서는 상기 전극 형성 가스가 GeH4/SiH4인 것이 바람직하다. 이 경우에, 적합한 전극 형성 조건은 이하와 같다:
가스 조성 : GeH4/SiH4= 10/90∼60/40 %의 혼합 가스,
압력 : 20∼60 Pa,
온도 : 460∼560 ℃.
(평면 안테나 부재)
본 발명에 있어서는, 복수의 슬릿을 갖는 평면 안테나 부재를 통해 마이크로파를 조사함으로써 전자 온도가 낮고 또한 고밀도인 플라즈마를 형성하고, 이 플라즈마를 이용하여 상기 피처리 기판 표면에 산화(필요에 따라 질화 처리)를 행하는 것이 특징이다. 이 때문에, 플라즈마 손상이 작고, 또한 저온에서 반응성이 높은프로세스가 가능하다.
이러한 다수의 슬릿을 갖는 평면 안테나를 구비하며, 또한 전자 온도가 낮고, 플라즈마 손상이 작고 또한 밀도가 높은 플라즈마를 발생시키는 능력을 갖는 마이크로파 플라즈마 장치의 상세한 내용에 관해서는 예컨대 문헌(Ultra Clean technology Vol. 10 Supplement 1, p. 32, 1998, Published by Ultra Clean Society)을 참조할 수 있다.
이러한 새로운 플라즈마 장치를 이용하면, 전자 온도는 1.5 eV 정도 이하, 플라즈마 시스 전압도 수 V 이하의 플라즈마를 용이하게 얻을 수 있기 때문에, 종래의 플라즈마(플라즈마 시스 전압이 50 V 정도)에 비하여, 플라즈마 손상을 대폭 저감할 수 있다. 이 평면 안테나를 구비하는 새로운 플라즈마 장치는 실온∼700 ℃ 정도의 온도에서도 고밀도의 라디칼을 공급할 수 있는 능력을 갖고 있기 때문에, 가열에 의한 디바이스 특성의 열화를 억제할 수 있고, 또한 저온에서도 높은 반응성을 갖는 프로세스가 가능해진다고 생각된다.
이것에 비하여, 종래에 있어서는, 플라즈마를 이용했을 때라도, 매우 얇은 막 두께를 갖고 또한 양질인 산화막이나 산질화막(예컨대, 차세대용 MOS형 반도체 구조로서 요구되는 수준의 여러 가지 특성을 구비한 산화막이나 산질화막)은 아직 얻어지지 않았다. 예컨대, 차세대용 MOS형 반도체 구조로서 요구되는 것은 막 두께가 2.5 nm 이하인 산화막이나 산질화막을 구비하는 MOS형 반도체 구조이다. 이 때에는, 디바이스 특성의 관점에서는 게이트 전극으로서 폴리실리콘이나 비결정질 실리콘, SiGe 등을 이용한 MOS형 반도체 구조가 바람직한 것으로 생각할 수 있다. 그러나, 매우 얇고 또한 양질인 산화막이나 산질화막을 구비하는 반도체 구조를 제조하는 방법은 종래에 있어서는 발견되지 않았다.
(적합한 플라즈마)
본 발명에 있어서 적합하게 사용 가능한 플라즈마의 특성은 이하와 같다.
전자 온도 : < 2 eV,
밀도 : 1011∼1013,
플라즈마 밀도의 균일성 : ±3 % 이내.
상기한 바와 같이 본 발명의 방법에 따르면, 막 두께가 얇고 또한 양질인 산화막 및/또는 산질화막을 형성할 수 있다. 따라서, 이 산화막 및/또는 산질화막 상에 다른 층(예컨대, 전극층)을 형성함으로써, 특성이 우수한 반도체 장치의 구조를 형성하는 것이 용이해진다.
본 발명의 프로세스에 따르면, 특히, 매우 얇은 막 두께(예컨대 막 두께 2.5 nm 이하)의 산화막 및/또는 산질화막을 형성하는 것이 가능하기 때문에, 예컨대 이 산화막 및/또는 산질화막 상에 게이트 전극으로서 폴리실리콘 또는 비결정질 실리콘 또는 SiGe를 이용함으로써, 고성능의 MOS형 반도체 구조를 형성할 수 있다.
(산화막의 적합한 특성)
본 발명에 따르면, 하기와 같이 적합한 특성을 갖는 산화막을 용이하게 제조할 수 있다.
물리적 막 두께 : 0.8 mm∼임의,
누설 특성 : Dry Ox와 비교하여 동등∼1 자릿수 저감,
막 균일성 : ±6 % 이내.
(산질화막의 적합한 특성)
본 발명에 따르면, 하기와 같이 적합한 특성을 갖는 산질화막을 용이하게 제조할 수 있다.
표면 질소 농도∼20 %(도 10을 참조).
도 10에 SPA 질화를 행한 산화막의 SIMS 분석 결과를 도시한다. 15A의 하지 산화막형에 질화 처리를 8초, 25초 행하였다. 도면에 도시된 바와 같이 표면에 고농도의 질소가 함유되어 있고, 계면의 질소 혼입에 따른 디바이스 특성의 열화를 피하여 질화를 행하는 것이 가능해지고 있다.
(MOS 반도체 구조의 적합한 특성)
본 발명의 방법을 적용할 수 있는 범위는 특별히 제한되지 않지만, 본 발명에 의해 형성 가능한 매우 얇고 또한 양질인 산화막 및/또는 산질화막은 반도체 장치의 절연막(특히 MOS 반도체 구조의 게이트 절연막)으로서 특히 적합하게 이용할 수 있다.
본 발명에 따르면, 하기와 같이 적합한 특성을 갖는 MOS 반도체 구조를 용이하게 제조할 수 있다. 또한, 본 발명에 의해 형성한 산화막 및/또는 산질화막의 특성을 평가할 때에는, 예컨대 문헌[응용 물리 제69권 제9호(2000년) PP1049∼1059]에 기재된 바와 같은 표준적인 MOS 반도체 구조를 형성하고, 그 MOS의 특성을 평가함으로써, 상기 산화막 및/또는 산질화막 자체의 특성 평가를 대신할 수 있다. 이러한 표준적인 MOS 구조에 있어서는, 그 구조를 구성하는 산화막 및/또는 산질화막의 특성이 MOS 특성에 강한 영향을 부여하기 때문이다.
전기적 막 두께(환산 막 두께) : 1.0∼2.5 nm,
누설 특성 : Dry Ox와 비교하여 반 자릿수∼1 자릿수 저감,
막 두께 균일성 : ±2 % 이내.
(제조 장치의 일 형태)
이하, 본 발명의 제조 방법의 적합한 일 형태에 대해서 설명한다.
우선 본 발명의 전자 디바이스 재료의 제조 방법에 의해 제조 가능한 반도체 장치의 구조의 일례에 대해서, 절연막으로서 게이트 절연막을 구비한 MOS 구조를 갖는 반도체 장치를 도 1을 참조하면서 설명한다.
도 1a를 참조해 보면, 이 도 1a에 있어서 참조 번호 1은 실리콘 기판, 11은 필드산화막, 2는 게이트 절연막이고, 13은 게이트 전극이다. 전술한 바와 같이, 본 발명의 제조 방법에 따르면 매우 얇고 또한 양질인 게이트 절연막(2)을 형성할 수 있다. 이 게이트 절연막(2)은 도 1b에 도시한 바와 같이, 실리콘 기판(1)과의 계면에 형성된 품질이 높은 절연막으로 이루어진다. 예컨대 2.5 nm 정도의 두께의 산화막(2)으로 구성되어 있다.
이 예에서는, 이 품질이 높은 산화막(2)은 O2및 희가스를 함유하는 처리 가스의 존재 하에서, Si를 주성분으로 하는 피처리 기판에 복수의 슬릿을 갖는 평면 안테나 부재를 통해 마이크로파를 조사함으로써 플라즈마를 형성하고, 이 플라즈마를 이용하여 상기 피처리 기판 표면에 형성된 실리콘 산화막(이하「SiO2막」이라 함)으로 이루어지는 것이 바람직하다. 이러한 SiO2막을 이용했을 때에는, 후술하는 바와 같이, 상간(相間)의 계면 특성(예컨대, 계면 준위)이 양호하고, 또한 MOS 구조로 했을 때에 양호한 게이트 누설 특성을 얻는 것이 용이하다고 하는 특징이 있다.
이 실리콘 산화막(2)의 표면에는 필요에 따라 질화 처리를 행하여도 좋다. 이 실리콘 산화막(2)의 질화 처리된 표면 상에는 추가로 실리콘(폴리실리콘 또는 비결정질 실리콘)을 주성분으로 하는 게이트 전극(13)이 형성되어 있다.
(제조 방법의 일 형태)
다음에, 이러한 실리콘 산화막(2), 질화 처리 표면(2a), 추가로 그 위에 게이트 전극(13)이 형성된 전자 디바이스 재료의 제조 방법에 대해서 설명한다.
도 2는 본 발명의 전자 디바이스 재료의 제조 방법을 실시하기 위한 반도체 제조 장치(30)의 전체 구성의 일례를 도시한 개략도(모식 평면도)이다.
도 2에 도시한 바와 같이, 이 반도체 제조 장치(30)의 거의 중앙에는 웨이퍼(W)(도 3)를 반송하기 위한 반송실(31)이 설치되어 있고, 이 반송실(31) 주위를 둘러싸도록, 웨이퍼에 여러 가지 처리를 행하기 위한 플라즈마 처리 유닛(32, 33), 각 처리실간의 연통/차단의 조작을 행하기 위한 2기의 로드 록 유닛(34, 35), 여러 가지 가열 조작을 행하기 위한 가열 유닛(36) 및 웨이퍼에 여러 가지 가열 처리를 행하기 위한 가열 반응로(47)가 설치되어 있다. 또한, 가열 반응로(47)는 상기 반도체 제조 장치(30)와는 별개로 독립적으로 설치하여도 좋다.
로드 록 유닛(34, 35)의 측방에는 여러 가지 예비 냉각 내지 냉각 조작을 행하기 위한 예비 냉각 유닛(45), 냉각 유닛(46)이 각각 설치되어 있다.
반송실(31)의 내부에는 반송 아암(37, 38)이 설치되어 있고, 상기 각 유닛(32∼36)과의 사이에서 웨이퍼(W)(도 3)를 반송할 수 있다.
로드 록 유닛(34, 35)의 도면 중 전방에는 로더 아암(41, 42)이 설치되어 있다. 이들 로더 아암(41, 42)은 추가로 그 전방에 설치된 카세트 스테이지(43) 상에 세트된 4대의 카세트(44)와의 사이에서 웨이퍼(W)를 출납할 수 있다.
또한, 도 2 중의 플라즈마 처리 유닛(32, 33)으로서는 동형의 플라즈마 처리 유닛 2 기가 병렬로 세트되어 있다.
더욱이, 이들 플라즈마 처리 유닛(32, 33)은 모두 싱글 챔버형 CVD 처리 유닛으로 교환하는 것이 가능하고, 플라즈마 처리 유닛(32)이나 플라즈마 처리 유닛(33)의 위치에 1 기 또는 2 기의 싱글 챔버형 CVD 처리 유닛을 세트하는 것도 가능하다.
플라즈마 처리가 2 기인 경우, 예컨대, 처리 유닛(32)에서 SiO2막을 형성한 후, 처리 유닛(33)에서 SiO2막을 표면 질화하는 방법을 행하여도 좋고, 또한 처리 유닛(32, 33)에서 병렬로 SiO2막 형성과 SiO2막의 표면 질화를 행하여도 좋다. 또는 별도의 장치에서 SiO2막 형성을 행한 후, 처리 유닛(32) 및 처리 유닛(33)에서 병렬로 표면 질화를 행할 수도 있다.
(게이트 절연막 성막의 일 형태)
도 3은 게이트 절연막(2)의 성막에 사용 가능한 플라즈마 처리 유닛[32(33)]의 수직 방향의 모식 단면도이다.
도 3을 참조해 보면, 참조 번호 50은 예컨대 알루미늄으로 형성된 진공 용기이다. 이 진공 용기(50)의 상면에는 기판(예컨대 웨이퍼 W)보다도 큰 개구부(51)가 형성되어 있고, 이 개구부(51)를 막도록 예컨대 석영이나 질화알루미늄 등의 유전체로 구성된 편평한 원통 형상의 상판(54)이 설치되어 있다. 이 상판(54)의 하면에 있는 진공 용기(50)의 상부측 측벽에는 예컨대 그 둘레 방향을 따라 균등하게 배치한 16 개소의 위치에 가스 공급관(72)이 설치되어 있고, 이 가스 공급관(72)으로부터 O2나 희가스, N2및 H2등으로부터 선택된 1 종 이상을 함유하는 처리 가스가 진공 용기(50)의 플라즈마 영역(P) 근방에 고르고 균등하게 공급되도록 되어 있다.
상판(54)의 외측에는 복수의 슬릿을 갖는 평면 안테나 부재, 예컨대 동판으로 형성된 슬릿 플레인 안테나(Slit Plane Antenna; SPA)(60)를 통해, 고주파 전원부를 이루고 예컨대 2.45 GHz의 마이크로파를 발생시키는 마이크로파 전원부(61)에 접속된 도파로(63)가 설치되어 있다. 이 도파로(63)는 SPA(60)에 하부 가장자리가 접속된 편평한 원형 도파관(63A)과, 이 원형 도파관(63A)의 상면에 일단측이 접속된 원통형 도파관(63B)과, 이 원통형 도파관(63B)의 상면에 접속된 동축 도파 변환기(63C)와, 이 동축 도파 변환기(63C)의 측면에 직각으로 일단측이 접속되고 타단측이 마이크로파 전원부(61)에 접속된 직사각형 도파관(63D)을 조합하여 구성되어있다.
여기서, 본 발명에 있어서는, UHF와 마이크로파를 포함하여 고주파 영역이라 부르기로 한다. 즉, 고주파 전원부로부터 공급되는 고주파 전력은 300 MHz 이상의 UHF나 1 GHz 이상의 마이크로파를 포함하는 300 MHz 이상 2500 MHz 이하의 것으로 하고, 이들 고주파 전력에 의해 발생되는 플라즈마를 고주파 플라즈마라고 부르기로 한다.
상기 원통형 도파관(63B)의 내부에는 도전성 재료로 이루어지는 축부(62)의 일단측이 SPA(60)의 상면의 거의 중앙에 접속하고, 타단측이 원통형 도파관(63B)의 상면에 접속하도록 동축형으로 설치되어 있으며, 이에 따라 그 도파관(63B)은 동축 도파관으로서 구성되어 있다.
또한, 진공 용기(50) 내에는 상판(54)과 대향하도록 웨이퍼(W)의 적재대(52)가 설치되어 있다. 이 적재대(52)에는 도시하지 않은 온도 조절부가 내장되어 있고, 이에 따라 그 적재대(52)는 열판으로서 기능하도록 되어 있다. 더욱이, 진공 용기(50)의 바닥부에는 배기관(53)의 일단측이 접속되어 있고, 이 배기관(53)의 타단측은 진공 펌프(55)에 접속되어 있다.
(SPA의 일 형태)
도 4는 본 발명의 전자 디바이스 재료의 제조 장치에 사용 가능한 SPA(60)의 일례를 도시하는 모식 평면도이다.
이 도 4에 도시한 바와 같이, 이 SPA(60)에서는, 표면에 복수의 슬롯(60a, 60a, …)이 동심원형으로 형성되어 있다. 각 슬롯(60a)은 거의 사각형의 관통한 홈이고, 인접하는 슬롯끼리는 서로 직교하여 거의 알파벳의 「T」 문자를 형성하도록 설치되어 있다. 슬롯(60a)의 길이나 배열 간격은 마이크로파 전원부(61)로부터 발생한 마이크로파의 파장에 따라 결정되어 있다.
(가열 반응로의 일 형태)
도 5는 본 발명의 전자 디바이스 재료의 제조 장치에 사용 가능한 가열 반응로(47)의 일례를 도시하는 수직 방향의 모식 단면도이다.
도 5에 도시한 바와 같이, 가열 반응로(47)의 처리실(82)은 예컨대 알루미늄 등으로 기밀 가능한 구조로 형성되어 있다. 이 도 5에서는 생략되어 있지만, 처리실(82) 내에는 가열 기구나 냉각 기구를 구비하고 있다.
도 5에 도시한 바와 같이, 처리실(82)에는 상부 중앙에 가스를 도입하는 가스 도입관(83)이 접속되고, 처리실(82) 내와 가스 도입관(83) 내가 연통되어 있다. 또한, 가스 도입관(83)은 가스 공급원(84)에 접속되어 있다. 그리고, 가스 공급원(84)으로부터 가스 도입관(83)에 가스가 공급되고, 가스 도입관(83)을 통해 처리실(82) 내에 가스가 도입되고 있다. 이 가스로서는, 게이트 전극 형성의 원료가 되는, 예컨대 실란 등의 각종 가스(전극 형성 가스)를 이용할 수 있고, 필요에 따라, 불활성 가스를 캐리어 가스로서 이용할 수도 있다.
처리실(82)의 하부에는 처리실(82) 내의 가스를 배기하는 가스 배기관(85)이 접속되고, 가스 배기관(85)은 진공 펌프 등으로 이루어지는 배기 수단(도시하지 않음)에 접속되어 있다. 이 배기 수단에 의해 처리실(82) 내의 가스가 가스 배기관(85)으로부터 배기되고, 처리실(82) 내가 원하는 압력으로 설정되고 있다.
또한, 처리실(82)의 하부에는 웨이퍼(W)를 적재하는 적재대(87)가 배치되어 있다.
이 도 5에 도시한 형태에 있어서는, 웨이퍼(W)와 거의 같은 크기의 직경의 도시하지 않은 정전 척에 의해 웨이퍼(W)가 적재대(87) 상에 적재되어 있다. 이 적재대(87)에는 도시하지 않은 열원 수단이 내측에 설치되어 있고, 적재대(87) 상에 적재된 웨이퍼(W)의 처리면을 원하는 온도로 조정할 수 있는 구조로 형성되어 있다.
이 적재대(87)는 필요에 따라 적재한 웨이퍼(W)를 회전할 수 있는 기구로 되어 있다.
도 5 중, 적재대(87)의 우측 처리실(82) 벽면에는 웨이퍼(W)를 출납하기 위한 개구부(82a)가 형성되어 있고, 이 개구부(82a)의 개폐는 게이트 밸브(98)를 도면 중 상하 방향으로 이동함으로써 행해진다. 도 5 중, 게이트 밸브(98)의 더 우측에는 웨이퍼(W)를 반송하는 반송 아암(도시하지 않음)이 인접하여 설치되어 있고, 반송 아암이 개구부(82a)를 통해 처리실(82) 내에 출입하여 적재대(87) 상에 웨이퍼(W)를 적재하거나, 처리 후의 웨이퍼(W)를 처리실(82)로부터 반출하도록 되어 있다.
적재대(87)의 상측에는 샤워 부재로서의 샤워 헤드(88)가 설치되어 있다. 이 샤워 헤드(88)는 적재대(87)와 가스 도입관(83) 사이의 공간을 구획하도록 형성되어 있고, 예컨대 알루미늄 등으로 형성되어 있다.
샤워 헤드(88)는 그 상부 중앙에 가스 도입관(83)의 가스 출구(83a)가 위치하도록 형성되고, 샤워 헤드(88) 하부에 설치된 가스 공급 구멍(89)을 통해 처리실(82) 내에 가스가 도입되고 있다.
(절연막 형성의 형태)
다음에, 전술한 장치를 이용하여 웨이퍼(W) 상에 게이트 절연막(2)으로 이루어지는 절연막을 형성하는 방법의 적합한 일례에 대해서 설명한다.
도 6은 본 발명의 방법에 있어서의 각 공정의 흐름의 일례를 도시하는 흐름도이다.
도 6을 참조해 보면, 우선, 전단의 공정에서 웨이퍼(W) 표면에 필드 산화막(11)(도 1a)을 형성한다.
계속해서, 플라즈마 처리 유닛(32)(도 2) 내의 진공 용기(50)의 측벽에 설치된 게이트 밸브(도시하지 않음)를 개방하여, 반송 아암(37, 38)에 의해 상기 실리콘 기판(1) 표면에 필드 산화막(11)이 형성된 웨이퍼(W)를 적재대(52)(도 3) 상에 적재한다.
계속해서, 게이트 밸브를 폐쇄하여 내부를 밀폐한 후, 진공 펌프(55)에 의해 배기관(53)을 통해 내부 분위기를 배기하여 소정의 진공도까지 탈기하고, 소정의 압력으로 유지한다. 한편, 마이크로파 전원부(61)로부터 예컨대 1.80 GHz(2200 W)의 마이크로파를 발생시켜, 이 마이크로파를 도파로에 의해 안내하여 SPA(60) 및 상판(54)을 통해 진공 용기(50) 내에 도입하고, 이것에 의해 진공 용기(50) 내의 상부측 플라즈마 영역(P)에서 고주파 플라즈마를 발생시킨다.
여기서, 마이크로파는 직사각형 도파관(63D) 내를 직사각형 모드로 전송하고, 동축 도파 변환기(63C)에서 직사각형 모드로부터 원형 모드로 변환되어, 원형 모드로 원통형 동축 도파관(63B)을 전송하고, 또한 원형 도파관(63A)에서 넓혀진 상태로 전송해 나가고, SPA(60)의 슬롯(60a)으로부터 방사되어, 상판(54)을 투과하여 진공 용기(50)에 도입된다. 이 때 마이크로파를 이용하고 있기 때문에 고밀도의 플라즈마가 발생하고, 또한 마이크로파를 SPA(60)의 다수의 슬롯(60a)으로부터 방사하고 있기 때문에, 이 플라즈마가 고밀도의 것이 된다.
계속해서, 적재대(52)의 온도를 조절하여 웨이퍼(W)를 예컨대 400 ℃로 가열하면서, 가스 공급관(72)으로부터 산화막 형성용 처리 가스인 크립톤이나 아르곤 등의 희가스와, O2가스를 각각 1000 sccm, 20 sccm의 유량으로 도입하여 제1 공정(산화막의 형성)을 실시한다.
이 공정에서는, 도입된 처리 가스는 플라즈마 처리 유닛(32) 내에서 발생한 플라즈마류에 의해 활성화(플라즈마화)되고, 이 플라즈마에 의해 도 7a의 모식 단면도에 도시한 바와 같이, 실리콘 기판(1)의 표면이 산화되어 산화막(SiO2막)(2)이 형성된다. 이렇게 해서 이 산화 처리를 예컨대 40초간 행하여, 2.5 nm의 두께의 게이트 산화막 또는 게이트 산질화막용 하지 산화막(하지 SiO2막)(2)을 형성할 수 있다.
다음에, 게이트 밸브(도시하지 않음)를 개방하고, 진공 용기(50) 내에 반송 아암(37, 38)(도 2)을 진입시켜, 적재대(52) 상의 웨이퍼(W)를 수취한다. 이 반송 아암(37, 38)은 웨이퍼(W)를 플라즈마 처리 유닛(32)으로부터 취출한 후, 인접하는플라즈마 처리 유닛(33) 내의 적재대에 세트한다(단계 2). 또한, 용도에 따라, 게이트 산화막을 질화하지 않고서 열반응로(47)로 이동하는 경우도 있다.
(질화 함유층 형성의 형태)
계속해서, 이 플라즈마 처리 유닛(33) 내에서 웨이퍼(W) 상에 표면 질화 처리가 행해지고, 먼저 형성된 하지 산화막(하지 SiO2막)(2)의 표면 상에 질화 함유층(2a)(도 7b)이 형성된다.
이 표면 질화 처리 시에는, 예컨대 진공 용기(50) 내에서 웨이퍼 온도가 예컨대 400 ℃, 프로세스 압력이 예컨대 66.7 Pa(500 mTorr)인 상태에서 진공 용기(50) 내에 가스 도입관으로부터 아르곤 가스와, N2가스를 각각 1000 sccm, 20 sccm의 유량으로 도입한다.
한편, 마이크로파 전원부(61)로부터 예컨대 2 W/cm2의 마이크로파를 발생시켜, 이 마이크로파를 도파로에 의해 안내하여 SPA(60b) 및 상판(54)을 통해 진공 용기(50) 내에 도입하고, 이것에 의해 진공 용기(50) 내의 상부측의 플라즈마 영역(P)에서 고주파 플라즈마를 발생시킨다.
이 공정(표면 질화)에서는 도입된 가스는 플라즈마화하여, 질소 라디칼이 형성된다. 이 질소 라디칼이 웨이퍼(W) 상면 상의 SiO2막 상에서 반응하여, 비교적 단시간에 SiO2막 표면을 질화한다. 이와 같이 하여 도 7b에 도시한 바와 같이, 웨이퍼(W) 상의 하지 산화막(하지 SiO2막)(2)의 표면에 질소 함유층(2a)이 형성된다.
이 질화 처리를 예컨대 20초 행하여, 환산 막 두께 2 nm 정도의 두께의 게이트 산질화막(Si0N막)을 형성할 수 있다.
(게이트 전극 형성의 형태)
다음에, 웨이퍼(W) 상의 SiO2막 상 또는 하지 SiO2막을 질화 처리한 SiON막 상에 게이트 전극(13)(도 1a)을 형성한다. 이 게이트 전극(13)을 형성하기 위해서는 게이트 산화막 또는 게이트 산질화막이 형성된 웨이퍼(W)를 각각 플라즈마 처리 유닛(32) 또는 플라즈마 처리 유닛(33) 내에서 취출하여, 반송실(31)(도 2)측으로 일단 취출하고, 그러한 후에 가열 반응로(47) 내에 수용한다(단계 4). 가열 반응로(47) 내에서는 소정의 처리 조건 하에서 웨이퍼(W)를 가열하고, 게이트 산화막 또는 게이트 산질화막 상에 소정의 게이트 전극(13)을 형성한다.
이 때, 형성하는 게이트 전극(13)의 종류에 따라 처리 조건을 선택할 수 있다.
즉, 폴리실리콘으로 이루어지는 게이트 전극(13)을 형성하는 경우에는, 처리 가스(전극 형성 가스)로서 SiH4를 사용하고, 20.0∼33.3 Pa(150∼250 mTorr)의 압력, 570∼630 ℃의 온도 조건 하에서 처리한다.
또한, 비결정질 실리콘으로 이루어지는 게이트 전극(13)을 형성하는 경우에는, 처리 가스(전극 형성 가스)로서 SiH4를 사용하고, 20.0∼66.7 Pa(150∼500 mTorr)의 압력, 520∼570 ℃의 온도 조건 하에서 처리한다.
또한, SiGe로 이루어지는 게이트 전극(13)을 형성하는 경우에는, GeH4/SiH4= 10/90∼60/40 %의 혼합 가스를 사용하고, 20∼60 Pa의 압력, 460∼560 ℃의 온도 조건 하에서 처리한다.
(산화막의 품질)
전술한 제1 공정에서는, 게이트 산화막 또는 게이트 산질화막용 하지 산화막을 형성하는 데에 있어서, 처리 가스의 존재 하에서, Si를 주성분으로 하는 웨이퍼(W)에 복수의 슬릿을 갖는 평면 안테나 부재(SPA)를 통해 마이크로파를 조사함으로써 산소(O2) 및 희가스를 함유하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 상기 피처리 기판 표면에 산화막을 형성하고 있기 때문에, 품질이 높고, 또한 막질 제어를 시종 잘 행할 수 있다.
제1 공정에 있어서의 산화막의 품질은 도 8의 그래프에 도시한 바와 같이 높은 것이다.
이 도 8은 전술한 형태에 따른 전자 디바이스 재료의 제조 방법에 의해 실리콘 웨이퍼(W) 상에 형성된 MOS형 반도체 구조의 누설 특성을 나타낸 것이다. 이 그래프의 종축에는 누설 전류의 값을 취하고, 횡축에는 전기적 막 두께(환산 막 두께)를 취하였다.
도 8 중, 실선으로 도시한 그래프 ①은 참조를 위해 종래의 열산화법(Dry 열산화법)에 의해 형성된 열산화막(DryOx)의 누설 특성을 나타내고, 그래프 ②는 O2와 희가스로서 아르곤의 존재 하에 SPA를 이용하여 플라즈마 처리하여 얻어진산화막(SPAOx)의 누설 특성을 나타낸다.
도 8의 그래프로부터 알 수 있는 바와 같이, 종래의 열산화법에 의해 형성되는 열산화막의 누설 특성 ①에 비하여, 본 발명의 전자 디바이스 재료의 제조 방법에 의해 형성되는 산화막 ②의 누설값은 낮다. 따라서, 본 발명에 의해 형성되는 산화막을 이용함으로써, 저소비 전력이 실현되어, 양호한 디바이스 특성을 얻을 수 있다.
(고품질 산화막의 추정 메커니즘)
전술한 바와 같이, 본 발명의 전자 디바이스 재료의 제조 방법에 의해 열산화막보다 고품질이고 낮은 계면 준위를 구비한 산화막(예컨대 게이트 산화막)을 얻을 수 있었다.
이와 같이, 전술한 방법에 의해 형성된 산화막의 품질이 높아지는 이유는 본 발명자의 지견에 따르면, 이하와 같이 추정된다.
즉, SPA를 이용하여 처리 가스에 마이크로파를 조사함으로써 형성되는 플라즈마는 전자 온도가 비교적 낮은 플라즈마로서 형성된다. 그 때문에, 플라즈마와 피처리 기판 표면과의 바이어스는 비교적 낮은 값으로 억제되어, 플라즈마 손상이 작다. 그 때문에, 도 8에 도시한 바와 같이, 양호한 계면 특성을 갖는 SiO2막이 형성되는 것으로 생각된다.
(고품질 산질화막의 추정 메커니즘)
또한, 상기 제2 공정에서 표면 질화 처리하여 얻어지는 산질화막은 우수한품질을 구비하고 있다. 그 이유는 본 발명자의 지견에 따르면, 이하와 같이 추정된다.
상기 SPA에 의해 산화막 표면에 생성되는 질소 라디칼은 고밀도이기 때문에, 산화막 표면에 퍼센트 단위로 질소를 혼입하는 것이 가능하다. 또한, 열에 의한 질소 라디칼 생성에 비하여 저온(실온 정도)에서도 고밀도의 질소 라디칼을 생성할 수 있고, 도펀트의 확산 등으로 대표되는 열에 의한 디바이스 특성의 열화를 억제하는 것이 가능하다. 또한, 막 중의 질소는 산화막 표면에 함유되기 때문에, 계면 특성을 열화시키는 일 없이, 유전률을 향상시키며, 또한 붕소의 관통 방지 효과 등의 성능을 발휘하는 것이 가능하다.
(적합한 MOS 특성의 추정 메커니즘)
또한, 상기 제3 공정에 있어서 특정 조건 하에서 가열 처리하여 얻어지는 게이트 전극을 형성함으로써, MOS형 반도체 구조는 우수한 특성을 구비하고 있다. 그 이유는 본 발명자의 지견에 따르면, 이하와 같이 추정된다.
본 발명에 있어서는, 전술한 바와 같이 매우 얇고 또한 양질인 게이트 절연막을 형성할 수 있다. 이러한 양질의 게이트 절연막(게이트 산화막 및/또는 게이트 산질화막)과, 그 위에 형성한 게이트 전극(예컨대, CVD에 의한 폴리실리콘, 비결정질 실리콘, SiGe)과의 조합에 기초하여 양호한 트랜지스터 특성(예컨대, 양호한 누설 특성)을 실현하는 것이 가능해진다.
나아가서는, 도 2에 도시한 바와 같은 클러스터화를 행함으로써, 게이트 산화막 및 게이트 산질화막 형성과 게이트 전극 형성 사이에 있어서 대기에의 노출을피하는 것이 가능해지고, 수율이나 디바이스 특성의 더한 향상이 가능해진다.
실시예
이하, 실시예에 의해 본 발명을 더욱 구체적으로 설명한다.
본 발명의 전자 디바이스 재료의 제조 방법에 의해 소자 분리 형성을 행한 n형 실리콘 기판 상에 도 2에 도시한 바와 같은 장치를 이용하여 SPA 플라즈마를 이용하여 처리 유닛(32)(도 2)에서 1.8 nm의 하지 SiO2막을 형성하였다. 합계의 막 두께는 1.8 nm(산화막 환산 막 두께)이다. 하지 SiO2막의 형성 조건에 대해서는 O2/Ar2= 200 sccm/2000 sccm이고, 압력은 2000 mTorr, 마이크로파 파워는 3 W/cm2이며, 온도는 400 ℃였다.
하지 SiO2의 질화 조건에 대해서는 N2/Ar 유량 = 40 sccm/1000 sccm이고, 압력은 7 Pa(50 mTorr), 마이크로파는 2 W/cm2이며, 온도는 400 ℃였다. 질화 시간을 10초, 20초, 40초로 변화시켰다. 스루풋은 1 챔버당 25 장/시간을 달성하고, 공업적으로 충분히 적용할 수 있는 레벨인 것을 확인할 수 있었다.
게이트 절연막 형성에 이어서, P형 폴리 실리콘 게이트 전극을 형성하여 환산 막 두께를 CV 특성으로부터 구하였다. 환산 막 두께는 1.4 nm 정도까지 감소하고, 막 두께의 균일성도 3시그마에서 4 %로 양호한 결과를 얻을 수 있었다.
또한, 게이트 누설 전류 특성을 측정하였다. 도 9의 종축에 누설 전류 특성, 횡축에 전기적 막 두께(환산 막 두께)를 취하였다. 직선으로 도시된 그래프 ①은표준의 열산화막의 누설 특성을 나타내고, 포인트로 도시된 그래프 ②는 SPA 산화 후, 질화를 행한 막의 누설 특성을 나타낸다. 그래프 ②에 도시한 바와 같이, 질화 시간의 증가에 따라 환산 막 두께의 저감이 관측되었다. 또한, 40초 질화의 조건에서는, 표준의 열산화막에 비하여 누설 전류는 최대로 1 자릿수 정도 감소하였다.
이상 도시한 바와 같이, 본 발명의 전자 디바이스 재료의 제조 방법에 의해 양호한 전기 특성을 구비한 고성능 MOS형 반도체 구조를 공업적으로 충분히 적용할 수 있는 스루풋으로 형성할 수 있었다.
전술한 바와 같이 본 발명의 전자 디바이스 제조 방법에 따르면, 처리 가스의 존재 하에서, Si를 주성분으로 하는 피처리 기판에 복수의 슬릿을 갖는 평면 안테나 부재(소위 SPA 안테나)를 통해 마이크로파를 조사함으로써, 실리콘 함유 기판 상에 직접 플라즈마를 공급하여 산화막(SiO2막)을 형성하기 때문에, 실리콘 함유 기판과 그 표면에 형성되는 산화막(SiO2막) 사이에서 적합한 계면의 특성 제어를 행할 수 있다.
특히, 본 발명에 의한 다른 형태의 전자 디바이스 제조 방법에 따르면, 소위 SPA 안테나를 이용한 방법으로 하지 산화막(SiO2막)을 형성한 후에 질화 처리를 행하는 방법을 이용함으로써, 고품질의 산질화막(SiON막)을 형성할 수 있다.
또한, 이와 같이 하여 형성한 고품질의 산화막 및/또는 산질화막 상에 전극층(예컨대, 폴리실리콘 또는 비결정질 실리콘 또는 SiGe로 이루어지는 게이트 전극)을 형성함으로써, 양호한 전기 특성을 갖는 반도체 구조(예컨대, MOS형 반도체 구조)를 형성할 수 있다.

Claims (26)

  1. 적어도 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 산화막(SiO2막)을 형성하는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  2. 제1항에 있어서, 상기 전자 디바이스는 반도체 장치인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  3. 제2항에 있어서, 상기 산화막은 게이트 산화막(SiO2막) 또는 게이트 산질화막용 하지 산화막(하지 SiO2막)인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 산화막의 막 두께는 2.5 nm 이하인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 희가스는 크립톤, 아르곤 또는 헬륨으로부터 선택된 1 종 이상의 가스인 것을 특징으로 하는 전자 디바이스재료의 제조 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 처리 가스는 유량 5∼500 sccm의 O2및 유량 500∼3000 sccm의 크립톤, 아르곤 또는 헬륨을 함유하는 가스인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 SiO2막의 형성은 실온∼700 ℃의 온도 하에서 행해지는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  8. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 SiO2막의 형성은 20∼5000 mTorr의 압력 하에서 행해지는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 플라즈마는 0.5∼5 W/cm2의 출력으로 형성되는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  10. 적어도 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를주성분으로 하는 피처리 기판의 표면에 하지 산화막(SiO2막)을 형성하는 공정과;
    적어도 N2와 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 질화 플라즈마를 이용하여, 상기 하지 SiO2막 표면을 질화하는 공정
    을 포함하는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  11. 제10항에 있어서, 상기 전자 디바이스는 반도체 장치인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  12. 제10항 또는 제11항에 있어서, 상기 처리 가스는 H2를 더 함유하는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  13. 제10항 내지 제12항 중 어느 한 항에 있어서, 상기 산화막은 게이트 산화막(SiO2막) 또는 게이트 산질화막용 하지 산화막(하지 SiO2막)인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  14. 제10항 내지 제13항 중 어느 한 항에 있어서, 상기 산화막의 막 두께는 2.5 nm 이하인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  15. 제10항 내지 제14항 중 어느 한 항에 있어서, 상기 희가스는 크립톤, 아르곤 또는 헬륨인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  16. 제10항 내지 제15항 중 어느 한 항에 있어서, 상기 처리 가스는 유량 2∼500 sccm의 N2및 유량 200∼2000 sccm의 크립톤, 아르곤 또는 헬륨을 함유하는 가스; 또는 유량 2∼500 sccm의 N2, 유량 200∼2000 sccm의 크립톤, 아르곤 또는 헬륨 및 유량 1∼100 sccm의 H2를 함유하는 가스인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  17. 제10항 내지 제16항 중 어느 한 항에 있어서, 상기 하지 SiO2막의 질화는 실온∼700 ℃의 온도 하에서 행해지는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  18. 제10항 내지 제18항 중 어느 한 항에 있어서, 상기 하지 SiO2막의 질화는 10∼3000 mTorr의 압력 하에서 행해지는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  19. 제10항 내지 제18항 중 어느 한 항에 있어서, 상기 질화 플라즈마는 0.5∼4 W/cm2의 출력으로 형성되는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  20. 적어도 O2및 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 플라즈마를 이용하여, Si를 주성분으로 하는 피처리 기판의 표면에 하지 산화막(SiO2막)을 형성하는 공정과;
    적어도 N2와 희가스를 함유하는 처리 가스의 존재 하에서, 복수의 슬릿을 갖는 평면 안테나 부재를 통한 마이크로파 조사에 기초한 질화 플라즈마를 이용하여, 상기 하지 SiO2막 표면을 질화하는 공정과;
    상기 SiO2막 또는 표면 질화한 하지 SiO2막(SiON막)을 갖는 피처리 기판을 층형성 가스의 존재 하에 가열하여 상기 SiO2막 또는 SiON막 상에 전극층을 형성하는 공정
    을 포함하는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  21. 제20항에 있어서, 상기 전극층은 폴리실리콘 또는 비결정질 실리콘 또는 SiGe로 이루어지는 전극층인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  22. 제20항 또는 제21항에 있어서, 상기 전자 디바이스는 반도체 장치인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  23. 제20항 내지 제22항 중 어느 한 항에 있어서, 상기 전극층은 게이트 전극인 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  24. 제20항 내지 제23항 중 어느 한 항에 있어서, 상기 층 형성 가스는 SiH4이고, 압력은 20.0∼40 Pa(150∼300 mTorr)이고, 온도는 570∼650 ℃이며, 형성되는 게이트 전극은 폴리실리콘으로 이루어지는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  25. 제20항 내지 제23항 중 어느 한 항에 있어서, 상기 층 형성 가스는 SiH4이고, 압력은 20.0∼66.7 Pa(150∼500 mTorr)이고, 온도는 520∼570 ℃이며, 형성되는 게이트 전극은 비결정질 실리콘으로 이루어지는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
  26. 제20항 내지 제23항 중 어느 한 항에 있어서, 상기 층 형성 가스는 GeH4/SiH4= 10/90∼60/40 %의 혼합 가스이고, 압력은 20∼60 Pa이고, 온도는 460∼560 ℃이며, 형성되는 게이트 전극은 SiGe로 이루어지는 것을 특징으로 하는 전자 디바이스 재료의 제조 방법.
KR1020037009626A 2001-01-22 2002-01-22 전자 디바이스 재료의 제조 방법, 플라즈마 처리 방법, 및 산질화막 형성 시스템 KR100837707B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001012917 2001-01-22
JPJP-P-2001-00012917 2001-01-22
PCT/JP2002/000439 WO2002058130A1 (fr) 2001-01-22 2002-01-22 Procede de production

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020077026777A Division KR20070116696A (ko) 2001-01-22 2002-01-22 전자 디바이스 재료의 제조 방법 및 플라즈마 처리 방법
KR1020067008751A Division KR100746120B1 (ko) 2001-01-22 2002-01-22 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법

Publications (2)

Publication Number Publication Date
KR20030070126A true KR20030070126A (ko) 2003-08-27
KR100837707B1 KR100837707B1 (ko) 2008-06-13

Family

ID=18879853

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020097009583A KR100994387B1 (ko) 2001-01-22 2002-01-22 전자 디바이스 재료의 제조 방법 및 플라즈마 처리 방법
KR1020077026777A KR20070116696A (ko) 2001-01-22 2002-01-22 전자 디바이스 재료의 제조 방법 및 플라즈마 처리 방법
KR1020067008751A KR100746120B1 (ko) 2001-01-22 2002-01-22 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법
KR1020037009626A KR100837707B1 (ko) 2001-01-22 2002-01-22 전자 디바이스 재료의 제조 방법, 플라즈마 처리 방법, 및 산질화막 형성 시스템

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020097009583A KR100994387B1 (ko) 2001-01-22 2002-01-22 전자 디바이스 재료의 제조 방법 및 플라즈마 처리 방법
KR1020077026777A KR20070116696A (ko) 2001-01-22 2002-01-22 전자 디바이스 재료의 제조 방법 및 플라즈마 처리 방법
KR1020067008751A KR100746120B1 (ko) 2001-01-22 2002-01-22 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법

Country Status (6)

Country Link
US (3) US20040142577A1 (ko)
EP (1) EP1361605A4 (ko)
JP (3) JP3916565B2 (ko)
KR (4) KR100994387B1 (ko)
CN (2) CN100477113C (ko)
WO (1) WO2002058130A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100966927B1 (ko) * 2005-03-30 2010-06-29 도쿄엘렉트론가부시키가이샤 절연막의 제조 방법 및 반도체 장치의 제조 방법
KR101123442B1 (ko) * 2005-04-15 2012-03-23 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법, 반도체 장치, 플라즈마 질화처리 방법, 제어 프로그램 및 컴퓨터 기억 매체

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3916565B2 (ja) * 2001-01-22 2007-05-16 東京エレクトロン株式会社 電子デバイス材料の製造方法
KR100582481B1 (ko) * 2001-01-25 2006-05-23 동경 엘렉트론 주식회사 전자 디바이스 재료의 제조 방법
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
JP4256340B2 (ja) * 2002-05-16 2009-04-22 東京エレクトロン株式会社 基板処理方法
KR101118462B1 (ko) * 2002-06-12 2012-03-06 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 질화된 게이트 유전체 층에서 질소 프로파일을개선하기 위한 방법
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
JP2004175927A (ja) * 2002-11-27 2004-06-24 Canon Inc 表面改質方法
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
WO2004073073A1 (ja) * 2003-02-13 2004-08-26 Tokyo Electron Limited 半導体装置の製造方法および半導体製造装置
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US20040262701A1 (en) * 2003-06-24 2004-12-30 Texas Instruments Incorporated Nitridation process for independent control of device gate leakage and drive current
US7291568B2 (en) 2003-08-26 2007-11-06 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
KR100887449B1 (ko) 2003-09-17 2009-03-10 도쿄엘렉트론가부시키가이샤 저유전율 절연막의 형성 방법, 플라즈마 처리 장치, 및기록 매체
JP4555143B2 (ja) * 2004-05-11 2010-09-29 東京エレクトロン株式会社 基板の処理方法
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100887270B1 (ko) 2004-10-28 2009-03-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 방법 및 플라즈마 처리 장치
JP4718189B2 (ja) 2005-01-07 2011-07-06 東京エレクトロン株式会社 プラズマ処理方法
US7906440B2 (en) 2005-02-01 2011-03-15 Tokyo Electron Limited Semiconductor device manufacturing method and plasma oxidation method
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US20060228898A1 (en) * 2005-03-30 2006-10-12 Cory Wajda Method and system for forming a high-k dielectric layer
US7501352B2 (en) 2005-03-30 2009-03-10 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer
US7517814B2 (en) 2005-03-30 2009-04-14 Tokyo Electron, Ltd. Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
WO2006106665A1 (ja) * 2005-03-31 2006-10-12 Tokyo Electron Limited 基板の窒化処理方法および絶縁膜の形成方法
US8318554B2 (en) * 2005-04-28 2012-11-27 Semiconductor Energy Laboratory Co., Ltd. Method of forming gate insulating film for thin film transistors using plasma oxidation
US7968470B2 (en) 2005-06-08 2011-06-28 Tohoku University Plasma nitriding method, method for manufacturing semiconductor device and plasma processing apparatus
JP2007073395A (ja) * 2005-09-08 2007-03-22 Tokyo Electron Ltd マグネトロンの制御方法、マグネトロンの寿命判定方法、マイクロ波発生装置、マグネトロンの寿命判定装置、処理装置及び記憶媒体
US20070066084A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Method and system for forming a layer with controllable spstial variation
US20070065593A1 (en) * 2005-09-21 2007-03-22 Cory Wajda Multi-source method and system for forming an oxide layer
US7713876B2 (en) 2005-09-28 2010-05-11 Tokyo Electron Limited Method for integrating a ruthenium layer with bulk copper in copper metallization
US7517818B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
US7517812B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method and system for forming a nitrided germanium-containing layer using plasma processing
KR100649874B1 (ko) * 2005-12-29 2006-11-27 동부일렉트로닉스 주식회사 에스오아이 웨이퍼를 이용한 트랜지스터 제조 방법
KR100745370B1 (ko) * 2006-01-20 2007-08-02 삼성전자주식회사 반도체 디바이스의 절연막 제조방법
US7825018B2 (en) * 2006-02-28 2010-11-02 Tokyo Electron Limited Plasma oxidation method and method for manufacturing semiconductor device
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
KR101163276B1 (ko) 2006-09-29 2012-07-05 도쿄엘렉트론가부시키가이샤 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
WO2008041600A1 (fr) * 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation par plasma, appareil de traitement au plasma et support de stockage
WO2008041599A1 (fr) * 2006-09-29 2008-04-10 Tokyo Electron Limited Procédé d'oxydation de plasma, support de stockage, et appareil de traitement par plasma
JP4864661B2 (ja) * 2006-11-22 2012-02-01 東京エレクトロン株式会社 太陽電池の製造方法及び太陽電池の製造装置
KR100850138B1 (ko) * 2006-12-26 2008-08-04 동부일렉트로닉스 주식회사 반도체 소자의 게이트 절연막 및 그 형성방법
US7767579B2 (en) 2007-12-12 2010-08-03 International Business Machines Corporation Protection of SiGe during etch and clean operations
KR101249611B1 (ko) * 2008-01-24 2013-04-01 도쿄엘렉트론가부시키가이샤 실리콘 산화막의 형성 방법, 기억 매체, 및 플라즈마 처리 장치
JP4593652B2 (ja) * 2008-06-06 2010-12-08 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
KR101111962B1 (ko) * 2008-10-24 2012-06-12 한국기초과학지원연구원 질소원자빔을 이용한 질화막 형성장치 및 방법
US8313994B2 (en) 2009-03-26 2012-11-20 Tokyo Electron Limited Method for forming a high-K gate stack with reduced effective oxide thickness
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5860392B2 (ja) * 2010-03-31 2016-02-16 東京エレクトロン株式会社 プラズマ窒化処理方法及びプラズマ窒化処理装置
US8753456B2 (en) * 2010-06-25 2014-06-17 Apple Inc. Selective nitriding on a 3D surface
JP2011204687A (ja) * 2011-05-20 2011-10-13 Tokyo Electron Ltd マグネトロンの寿命判定方法、マグネトロンの寿命判定装置及び処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
DE102011107072B8 (de) * 2011-07-12 2013-01-17 Centrotherm Thermal Solutions Gmbh & Co. Kg Verfahren zum ausbilden einer oxidschicht auf einem substrat bei tiefen temperaturen
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102293862B1 (ko) 2014-09-15 2021-08-25 삼성전자주식회사 반도체 소자의 제조 방법
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11217443B2 (en) 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114684797B (zh) * 2022-03-08 2023-10-13 中国科学院过程工程研究所 一种制备纯相多壳层Si2N2O空心球形粉体的系统和方法
WO2024043908A1 (en) * 2022-08-25 2024-02-29 L'air Liquide, Societe Anonyme Pourl'etude Et L'exploitation Des Procedesgeorges Claude A method for converting an existing industrial unit to produce hydrogen from ammonia

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US123456A (en) 1872-02-06 Improvement in blackboards
US5254503A (en) * 1992-06-02 1993-10-19 International Business Machines Corporation Process of making and using micro mask
EP0847079A3 (en) * 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
JP3164019B2 (ja) * 1997-05-21 2001-05-08 日本電気株式会社 酸化シリコン膜およびその形成方法と成膜装置
DE69807006T2 (de) * 1997-05-22 2003-01-02 Canon Kk Plasmabehandlungsvorrichtung mit einem mit ringförmigem Wellenleiter versehenen Mikrowellenauftragsgerät und Behandlungsverfahren
US6255731B1 (en) * 1997-07-30 2001-07-03 Canon Kabushiki Kaisha SOI bonding structure
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
JPH11279773A (ja) * 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
JP4069966B2 (ja) * 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
JP2000130527A (ja) * 1998-10-30 2000-05-12 Nissan Motor Co Ltd Vベルト式無段変速機用プーリー及び無段変速機
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
JP2000332009A (ja) * 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
JP4397491B2 (ja) * 1999-11-30 2010-01-13 財団法人国際科学振興財団 111面方位を表面に有するシリコンを用いた半導体装置およびその形成方法
US6214681B1 (en) * 2000-01-26 2001-04-10 Advanced Micro Devices, Inc. Process for forming polysilicon/germanium thin films without germanium outgassing
JP3916565B2 (ja) * 2001-01-22 2007-05-16 東京エレクトロン株式会社 電子デバイス材料の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100966927B1 (ko) * 2005-03-30 2010-06-29 도쿄엘렉트론가부시키가이샤 절연막의 제조 방법 및 반도체 장치의 제조 방법
KR101123442B1 (ko) * 2005-04-15 2012-03-23 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법, 반도체 장치, 플라즈마 질화처리 방법, 제어 프로그램 및 컴퓨터 기억 매체

Also Published As

Publication number Publication date
US20070224837A1 (en) 2007-09-27
US20040142577A1 (en) 2004-07-22
KR100994387B1 (ko) 2010-11-16
JP4926219B2 (ja) 2012-05-09
JPWO2002058130A1 (ja) 2004-05-27
JP4401375B2 (ja) 2010-01-20
JP3916565B2 (ja) 2007-05-16
EP1361605A1 (en) 2003-11-12
CN1860596A (zh) 2006-11-08
US20050233599A1 (en) 2005-10-20
KR100746120B1 (ko) 2007-08-13
KR20090053965A (ko) 2009-05-28
JP2010050462A (ja) 2010-03-04
JP2007013200A (ja) 2007-01-18
WO2002058130A1 (fr) 2002-07-25
EP1361605A4 (en) 2006-02-15
KR20060061404A (ko) 2006-06-07
CN100477113C (zh) 2009-04-08
CN101399198A (zh) 2009-04-01
KR100837707B1 (ko) 2008-06-13
KR20070116696A (ko) 2007-12-10

Similar Documents

Publication Publication Date Title
KR100994387B1 (ko) 전자 디바이스 재료의 제조 방법 및 플라즈마 처리 방법
KR100943246B1 (ko) 반도체 제조방법 및 반도체 제조장치
JP4255563B2 (ja) 半導体製造方法及び半導体製造装置
JP4334225B2 (ja) 電子デバイス材料の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
JP4408653B2 (ja) 基板処理方法および半導体装置の製造方法
KR100631767B1 (ko) 절연막의 형성 방법 및 형성 시스템
WO2006106667A1 (ja) 絶縁膜の製造方法および半導体装置の製造方法
JP2008147678A (ja) 電子デバイス用材料およびその製造方法
KR20090094033A (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
TW200402093A (en) Manufacturing method of electronic device material
JP4850861B2 (ja) 絶縁膜形成方法、絶縁膜形成装置及びプラズマ処理ユニット

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
S901 Examination by remand of revocation
E902 Notification of reason for refusal
A107 Divisional application of patent
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130524

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150430

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180518

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190516

Year of fee payment: 12