KR20060009395A - 기판의 처리 방법 - Google Patents

기판의 처리 방법 Download PDF

Info

Publication number
KR20060009395A
KR20060009395A KR1020057025305A KR20057025305A KR20060009395A KR 20060009395 A KR20060009395 A KR 20060009395A KR 1020057025305 A KR1020057025305 A KR 1020057025305A KR 20057025305 A KR20057025305 A KR 20057025305A KR 20060009395 A KR20060009395 A KR 20060009395A
Authority
KR
South Korea
Prior art keywords
plasma
insulating film
substrate
film
sio
Prior art date
Application number
KR1020057025305A
Other languages
English (en)
Inventor
다쿠야 수가와라
도시오 나카니시
시게노리 오자키
세이지 마츠야마
시게미 무라카와
요시히데 다다
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060009395A publication Critical patent/KR20060009395A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Abstract

본 발명은 전기적 특성이 우수한 절연층이나 반도체층을 구비한 고품질의 MOS형 반도체 등의 전자 디바이스 재료의 제조 방법을 제공하는 것을 목적으로 한다. 단결정 실리콘을 주성분으로 하는 피처리 기체 상에 CVD 처리를 실시하여 절연막을 형성하는 공정과, 상기 피처리 기체를, 복수의 슬롯이 있는 평면 안테나 부재(SPA)를 통해 처리 가스에 마이크로파를 조사함으로써 생성한 플라즈마에 노출시키고, 이 플라즈마를 이용하여 상기 절연막을 개질하는 공정을 포함한다.
마이크로파, 플라즈마, 절연층, CVD 처리

Description

기판의 처리 방법{METHOD OF PROCESSING A SUBSTRATE}
도 1은 본 발명의 전자 디바이스 제조 방법을 실시하기 위한 제조 장치의 개략도(모식 평면도)이고,
도 2는 본 발명의 전자 디바이스 제조 방법에 사용할 수 있는 슬롯 플레인 안테나(Slot Plane Antenna; 이하 「SPA」라고 약기함) 플라즈마 처리 유닛의 일례를 도시하는 모식적인 수직 단면도이고,
도 3은 본 발명의 전자 디바이스 제조 장치에 사용할 수 있는 SPA의 모식 평면도이고,
도 4는 본 발명의 전자 디바이스 제조 방법에 사용할 수 있는 CVD 처리 유닛의 모식적인 수직 단면도이고,
도 5는 본 발명의 제조 방법에 있어서의 각 공정의 일례를 도시하는 플로 차트이고,
도 6은 본 발명의 제조 방법에 따른 플래시 메모리의 제조 도중의 상태의 일례를 도시하는 모식적인 수직 단면도이고,
도 7은 본 발명의 제조 방법에 따른 플래시 메모리의 제조 도중의 상태의 일례를 도시하는 모식적인 수직 단면도이고,
도 8은 본 발명의 제조 방법에 따른 플래시 메모리의 제조 도중의 상태의 일 례를 도시하는 모식적인 수직 단면도이고,
도 9는 각종 처리 조건과 그 처리 조건으로 얻어지는 절연막의 품질 특성을 비교한 그래프이고,
도 10은 본 발명의 제2 실시 형태에 따른 논리 디바이스의 제조 공정의 일례를 도시하는 플로 차트이고,
도 11은 본 발명의 제2 실시 형태에 따른 논리 디바이스의 제조 공정의 일례를 도시하는 모식적인 수직 단면도이고,
도 12는 전형적인 플래시 메모리의 모식적인 수직 단면도이다.
본 발명은 반도체 또는 전자 디바이스 재료의 제조에 적합한 제조 방법에 관한 것이다. 본 발명에 따른 전자 디바이스 재료의 제조 방법은, 예컨대 MOS형 반도체 구조를 형성하는 데 적합하게 사용될 수 있다.
종래부터, 반도체 또는 반도체 재료를 구성하는 복수의 층을 형성하는 데 있어서는, 각종의 층형성 기술이 사용되어 왔다. 이들 층형성 기술의 대표적인 것으로는, 예컨대 진공 증착, 스퍼터링 및 CVD(화학적 기상 성장)법을 들 수 있다. 이들 층형성 기술 중에서도, CVD법은 층형성의 성막 속도가 빠르고, 비교적 단시간에 성막할 수 있는 특징을 갖기 때문에, MOS형 반도체 장치를 비롯한 각종의 반도체 또는 전자 디바이스 재료의 제조의 경우에, 다수의 공정에서 사용되고 있다.
본 발명의 제조 방법은 전자 디바이스 재료의 제조에 일반적으로 널리 사용될 수 있지만, 여기서는 설명의 편의를 위해, 플래시 메모리라 불리는 불휘발성 메모리의 일 형태인 EPROM을 예로 하여 본 발명의 배경이 되는 기술을 설명한다.
EPROM은 예컨대 도 12에 도시한 바와 같은 다층 구조를 갖는다.
도 12를 참조하면, 이 EPROM 다층 구조에 있어서는, p형 단결정 실리콘으로 이루어진 피처리 기체(100) 상에 SiO2로 이루어진 절연층(101)과 다결정 실리콘으로 이루어진 반도체층(102, 103)이 소정의 패턴을 형성하면서 교호(交互)식으로 적층된 층과, 그 위에 퇴적된 금속(알루미늄, 구리 등)으로 이루어진 금속층(104)으로 구성되어 있다.
이러한 반도체 장치에 있어서는, 다결정 실리콘으로 이루어진 반도체층(102, 103)이나 층간의 SiO2층을 형성하기 위해서, 전술한 CVD법이 널리 이용되고 있다.
그러나, CVD법에 의해 성막된 층은 표면 조도, 막중 결함이 비교적 많고, 댕글링 본드(dangling bond)라 불리는 원자 결합 손이 막 내부를 향해 형성되는 경향이 있다. 이 댕글링 본드가 막 내부를 향해 형성되어 있으면, 이 층의 내부 및 인접 층에서의 전자 흐름에 영향을 끼쳐서, 층으로서의 전기 특성이 열화되거나, 나아가서는 전자 디바이스 자체의 품질이 저하된다고 하는 문제가 생길 가능성이 있다.
본 발명의 목적은 전술한 종래의 문제를 해결할 수 있는 전자 디바이스 재료 의 제조 방법을 제공하는 것에 있다.
본 발명의 다른 목적은 전자 디바이스(예컨대 반도체)를 구성하는 층의 전기적 특성을 개량하여, 우수한 품질의 전자 디바이스를 제조할 수 있는 제조 방법을 제공하는 것에 있다.
본 발명의 또 다른 목적은 전기적 특성이 우수한 절연층이나 반도체층을 갖춘 고품질의 전자 디바이스 재료(예컨대 MOS형 반도체)의 제조 방법을 제공하는 것에 있다.
본 발명에 따른 전자 디바이스 재료의 제조 방법은, 전자 디바이스용 기판과, 이 기판 상에 배치된 절연막을 적어도 포함하는 피처리 기체를, 복수의 슬롯이 있는 평면 안테나 부재를 통한 마이크로파 조사(照射)에 기초하여 처리 가스로부터 생성된 플라즈마에 노출시켜, 상기 절연막을 개질하는 공정을 포함한다.
본 발명에 따르면, 전자 디바이스용 기판과, 이 기판 상에 배치된 제1 SiO2막과, 이 제1 SiO2막 상에 배치된 제1 다결정 실리콘층과, 제1 다결정 실리콘층 상에 배치된 제2 SiO2막을 적어도 포함하는 피처리 기체를, 복수의 슬롯이 있는 평면 안테나 부재를 통한 마이크로파 조사에 기초하여 처리 가스로부터 생성된 플라즈마에 노출시켜, 상기 제2 SiO2막을 개질하는 공정을 포함하는 전자 디바이스 재료의 제조 방법이 또한 제공된다.
본 발명에 따르면, 전자 디바이스용 기판과, 이 기판 상에 배치된 절연막을 적어도 포함하는 피처리 기체를, 복수의 슬롯이 있는 평면 안테나 부재를 통해 처리 가스에 마이크로파를 조사함으로써 생성된 플라즈마에 노출시키고, 이 플라즈마를 이용하여 상기 절연막을 개질하는 공정과, 상기 절연막 상에 금속층을 형성하는 공정을 포함하는 전자 디바이스 재료의 제조 방법이 또한 제공된다.
상기 도면에 있어서, 사용된 부호는, 20 : 웨이퍼(피처리 기체), 60 : SPA(평면 안테나 부재), 23 : 절연막(제1 SiO2막), 32 : 플라즈마 처리 유닛(프로세스 챔버), 33 : CVD 처리 유닛(프로세스 챔버), 47 : 가열 반응로를 각각 의미한다.
이하, 필요에 따라 도면을 참조하면서, 본 발명을 상세히 설명한다. 이하의 기재에 있어서 양비(量比)를 나타내는 「부」 및 「%」는 특별히 한정하지 않는 한은 질량을 기준으로 한다.
(전자 디바이스 재료의 제조 방법)
본 발명의 전자 디바이스 재료의 제조 방법은, 전자 디바이스용 재료의 층과, 그 층 상에 배치된 절연막을 적어도 포함하는 피처리 기체를, 복수의 슬롯이 있는 평면 안테나 부재를 통한 마이크로파 조사에 기초하여 처리 가스로부터 생성한 플라즈마에 노출시켜, 상기 절연막을 개질하는 공정을 적어도 포함한다.
(전자 디바이스용 재료)
본 발명에 있어서 사용 가능한 전자 디바이스용 재료는 특별히 제한되지 않으며, 공지의 전자 디바이스용 재료의 1종 또는 2종 이상의 조합으로부터 적절하게 선택하여 사용하는 것이 가능하다. 이러한 전자 디바이스용 재료의 예로는, 예컨 대 반도체 재료, 액정 디바이스 재료 등을 들 수 있다. 반도체 재료의 예로는, 실리콘을 주성분으로 하는 재료(단결정 실리콘, 폴리실리콘, 비정질 실리콘 등) 실리콘 질화막을 주성분으로 하는 재료, 실리콘 게르마늄을 주성분으로 하는 재료 등을 들 수 있다.
(절연막)
상기 전자 디바이스용 재료의 층 상에 배치되는 절연막은 특별히 제한되지 않으며, 공지의 전자 디바이스용 절연막의 1종 또는 2종 이상의 조합으로부터 적절하게 선택하여 사용하는 것이 가능하다. 이러한 절연막의 예로는, 예컨대 실리콘 산화막(SiO2), 실리콘 질화막(SiN) 등을 들 수 있다. 열이력 및 생산성의 관점에서, 실리콘 산화막은 CVD에 의해 형성된 막인 것이 바람직하다.
(처리 가스)
본 발명에 있어서 사용 가능한 처리 가스는 특별히 제한되지 않으며, 전자 디바이스 제조에 사용 가능한 공지의 처리 가스의 1종 또는 2종 이상의 조합으로부터 적절하게 선택하여 사용하는 것이 가능하다. 이러한 처리 가스의 예로는, 예컨대 희가스와 산소(O2), 또는 희가스와 질소(N2)와 수소(H2)를 함유하는 혼합 가스를 들 수 있다.
(희가스)
본 발명에 있어서 사용 가능한 희가스는 특별히 제한되지 않으며, 전자 디바이스 제조에 사용 가능한 공지의 희가스의 1종 또는 2종 이상의 조합으로부터 적절 하게 선택하여 사용하는 것이 가능하다. 이러한 처리 가스의 예로는, 예컨대 크립톤(Kr), 크세논(Xe), 헬륨(He) 또는 아르곤(Ar)을 들 수 있다.
본 발명의 절연막 개질에 있어서는, 형성되어야 할 개질막의 특성의 관점에서는 하기의 개질 조건을 적합하게 사용할 수 있다.
O2 : 1∼1000 sccm, 보다 바람직하게는 10∼500 sccm
희가스(예컨대, Kr, Ar, He 또는 Xe) : 200∼3000 sccm, 보다 바람직하게는 500∼2000 sccm,
H2 : 1∼200 sccm, 보다 바람직하게는 1∼50 sccm,
온도 : 실온(25℃)∼700℃, 보다 바람직하게는 실온∼500℃
압력 : 20∼5000 mTorr, 보다 바람직하게는 20∼3000 mTorr, 특히 바람직하게는 50∼2000 mTorr
마이크로파 : 0.5∼5 W/cm2, 보다 바람직하게는 1∼4 W/cm2
(적합한 조건의 예)
본 발명의 제조 방법에 있어서, 형성되어야 할 개질의 특성의 관점에서는 하기의 조건을 적합한 예로서 들 수 있다.
처리 가스의 적합한 일례 : 유량 10∼500 sccm의 O2 혹은 N2 및 유량 500∼2000 sccm의 Kr, He, Xe 또는 Ar을 함유하는 가스.
SiO2막의 처리 조건의 적합한 일례 : 실온∼500℃의 온도.
SiO2막의 처리 조건의 적합한 일례 : 2.7∼270 Pa(20∼2000 mTorr)
SiO2막의 형성 조건의 적합한 일례 : 플라즈마가 1∼4 W/cm2의 출력으로 형성되는 것.
(다결정 실리콘층 상의 SiO2막을 개질하는 형태)
본 발명의 다른 형태의 제조 방법은, 전자 디바이스용 기판과, 이 기판 상에 배치된 제1 SiO2막과, 이 제1 SiO2막 상에 배치된 제1 다결정 실리콘층과, 제1 다결정 실리콘층 상에 배치된 제2 SiO2막을 적어도 포함하는 피처리 기체를, 복수의 슬롯이 있는 평면 안테나 부재를 통한 마이크로파 조사에 기초하여 처리 가스로부터 생성된 플라즈마에 노출시켜, 상기 제2 SiO2막을 개질하는 공정을 적어도 포함한다. 이러한 다결정 실리콘층 상에 배치된 SiO2막을 개질한 경우에는, 동작 신뢰성의 향상이라는 이점을 얻을 수 있다.
이와 같이 개질한 상기 제2 SiO2막 상에 제2 다결정 실리콘층을, 예컨대 플래시 메모리용의 컨트롤 게이트 전극으로서 형성하여도 좋다. 제2 SiO2막은 다른 절연막(SiN이나, SiN과 SiO2의 적층 구조)이라도 좋다. 이러한 개질 SiO2막 상에 제2 다결정 실리콘층을 형성한 경우, 동작 신뢰성의 향상이라는 이점을 또한 얻을 수 있다.
전술한 제1 다결정 실리콘층, 제2 SiO2막 및/또는 제2 다결정 실리콘층을 CVD에 의해 형성한 경우에는 열이력의 저감 등의 이점을 추가로 얻을 수 있다. 생산성의 관점에서는, 이들 제1 다결정 실리콘층, 제2 SiO2막 및 제2 다결정 실리콘층의 전부를 CVD에 의해 형성하는 것이 가장 바람직하다.
전술한 형태의 전자 디바이스 재료의 제조 방법에 있어서, 상기 제1 다결정 실리콘층을 형성하는 공정과, 상기 제1 다결정 실리콘층 상에 제2 SiO2막을 형성하는 공정과의 사이 및/또는 상기 제2 다결정 실리콘층을 형성한 후에, 상기 피처리 기체를, 복수의 슬롯이 있는 평면 안테나 부재를 통해 처리 가스에 마이크로파를 조사함으로써 생성한 플라즈마에 노출시키고, 이 플라즈마를 이용하여 상기 제1 또는 제2 다결정 실리콘층을 개질하는 공정을 더 포함하여도 좋다. 이와 같이, 추가로 평면 안테나 부재를 통한 마이크로파 조사에 기초하여 처리 가스로부터 생성한 플라즈마에 노출시키는 추가적인 공정도 포함함으로써, 제1 및 제2 다결정 실리콘층의 표면이 평활해지고, 제2 Si02막의 신뢰성 향상을 기대할 수 있다. 또한, 본 공정에 의해 제1, 제2 다결정 실리콘의 내산화성을 향상시킴으로써, 후속 공정에 있어서의 다결정 실리콘의 면적 변동의 억제를 기대할 수 있다. 또한, 본 공정에 있어서 다결정 실리콘 표면을 SPA를 통해 생성한 처리 가스 플라즈마를 이용하여 산화시킴으로써, 제2 SiO2를 형성하는 것도 가능하다. 이 공정은 저온에서 처리를 행하는 것이 가능하다. 통상의 열산화 공정에서는 고온에 의해 디바이스 특성이 열화될 우려가 있지만, 본 공정을 이용하면 열공정에 의한 디바이스 특성의 열화(도펀트의 확산 등)를 억제하면서 산화막을 형성하는 것이 가능해진다.
(개질 절연층 상에 금속층을 형성하는 형태)
본 발명에 있어서의 또 다른 전자 디바이스 재료의 제조 방법은, 전자 디바이스용 재료의 층과, 이 층 상에 배치된 절연막(예컨대, 게이트 절연막)을 적어도 포함하는 피처리 기체를, 복수의 슬롯이 있는 평면 안테나 부재를 통한 마이크로파 조사에 기초하여 처리 가스로부터 생성한 플라즈마에 노출시켜, 상기 절연막을 개질하는 공정과: 상기 절연막 상에 금속층을 형성하는 공정을 적어도 포함한다. 이와 같이 개질한 절연막 상에 금속층을 형성한 경우에는, 동작 신뢰성의 향상이나 누설의 저감이라는 이점을 얻을 수 있다.
(절연막의 재료)
상기 전자 디바이스의 제조 방법에 있어서, 상기 절연막(예컨대 게이트 절연막)으로는, 종래부터 사용되고 있는 저유전율의 SiO2, SiON, SiN이나 유전율이 높은 Al2O3, ZrO2, HfO2, Ta2O5 및 ZrSiO, HfSiO 등의 실리케이트나 ZrAlO 등의 알루미네이트로 이루어진 군에서 선택되는 1 또는 2 이상의 것을 들 수 있다.
(평면 안테나 부재)
본 발명의 전자 디바이스 재료의 제조 방법에 있어서는, 복수의 슬롯이 있는 평면 안테나 부재를 통해 마이크로파를 조사함으로써 전자 온도가 낮고 또한 고밀도인 플라즈마를 형성하고, 이 플라즈마를 이용하여 막의 개질을 행하기 때문에, 플라즈마 손상이 작고, 또한 저온에서 반응성이 높은 프로세스가 가능해진다.
본 발명에 따른 개질이 실시된 막은, 상기 복수의 슬롯이 있는 평면 안테나 부재를 통해 마이크로파를 조사함으로써 얻은, 전자 온도가 낮고 또한 고밀도인 플라즈마를 이용하여 개질되기 때문에, 막 내부의 댕글링 본드가 이상적인 형태로 종단된다. 그 결과, 막 자체의 절연 특성이 향상되고, 나아가서는 특성이 우수한 전자 디바이스 재료(예컨대 반도체 재료)를 얻을 수 있다. 또한, 웨이퍼 온도, 챔버 온도를 저온으로 사용할 수 있기 때문에, 에너지 절약 프로세스가 가능하다.
(적합한 플라즈마)
본 발명에 있어서 적합하게 사용 가능한 플라즈마의 특성은 다음과 같다.
전자 온도: 0.5∼2.0 eV
밀도: 1E10∼5E12 cm-3
플라즈마 밀도의 균일성: ± 10% 이내
본 발명에 따르면, 양질의 개질 절연막을 형성할 수 있다. 따라서, 이 개질 절연막 상에 다른 층(예컨대, 전극층)을 형성함으로써, 특성이 우수한 반도체 장치의 구조를 형성하는 것이 용이하다.
(절연막의 적합한 특성)
본 발명에 따르면, 다음과 같이 적합한 특성을 갖는 개질 절연막을 용이하게 형성할 수 있다.
누설 전류의 저감: 디바이스의 저소비 전력화
플래시 메모리에 응용함으로써 긴 수명의 기억 유지 능력
신뢰성의 향상 : 동작 횟수의 증대에 따른 열화를 억제
(반도체 구조의 적합한 특성)
본 발명의 방법의 적용 가능한 범위는 특별히 제한되지 않지만, 본 발명에 의해 형성할 수 있는 양질의 개질 절연막은 플래시 메모리 구조의 절연막으로서 특히 적합하게 이용될 수 있다.
본 발명에 따르면, 다음과 같이 적합한 특성을 갖는 플래시 메모리 구조를 용이하게 제조할 수 있다. 또한, 본 발명에 의해 개질한 절연막의 특성을 평가할 때에는, 예컨대 문헌(IEEE TRANSACTI0NS 0N ELECTR0N DEVICES, Vol 46, No. 9, 1999년 9월 PP1866-1871)에 기재된 바와 같은 표준 플래시 메모리를 형성하고, 그 플래시 메모리의 특성을 평가함으로써, 상기 절연막 자체의 특성 평가로 바꿀 수 있다. 이는, 이러한 표준 플래시 메모리 구조에 있어서는, 그 구조를 구성하는 절연막의 특성이 플래시 메모리 특성에 강한 영향을 끼치기 때문이다.
특성: 높은 반복 동작 안정성
(전자 디바이스 재료 제조의 형태)
이하에 본 발명에 있어서의 하나의 형태에 대해서 설명한다.
우선, 본 발명에 따른 플래시 메모리를 제조하는 방법에 사용할 수 있는 전자 디바이스 재료의 제조 장치에 대해서 설명한다.
도 1은 본 발명의 전자 디바이스 재료의 제조 방법을 실시하기 위한 전자 디바이스(반도체 장치) 제조 장치(30)의 전체 구성의 일례를 도시하는 개략도(모식 평면도)이다.
도 1에 도시한 바와 같이, 이 반도체 제조 장치(30)의 대략 중앙에는 웨이퍼(W; 도 2)를 반송하기 위한 반송실(31)이 마련되어 있고, 이 반송실(31)의 주위를 둘러싸도록, 웨이퍼에 각종의 처리를 행하기 위한 플라즈마 처리 유닛(32), CVD 처리 유닛(33), 각 처리실 사이의 연통/차단을 조작하기 위한 2기의 로드록 유닛(34, 35), 각종의 가열 조작을 행하기 위한 가열 유닛(36), 그리고 웨이퍼에 각종의 가열 처리를 행하기 위한 가열 반응로(47)가 마련되어 있다. 또한, 가열 반응로(47)는 상기 반도체 제조 장치(30)와는 별개로 독립적으로 설치하여도 좋다.
로드록 유닛(34, 35)의 좌우에는 각종의 예비 가열 또는 냉각 조작을 행하기 위한 예비 가열 유닛(45)과 냉각 유닛(46)이 각각 마련되어 있다.
반송실(31)의 내부에는 반송 아암(37, 38)이 마련되어 있어서, 상기 각 유닛(32∼36) 사이에서 웨이퍼(W; 도 2)를 반송할 수 있다.
로드록 유닛(34, 35)의 도면 중 전방측에는 로더 아암(41, 42)이 마련되어 있다. 이들 로더 아암(41, 42)은 추가로 그 전방측에 마련된 카세트 스테이지(43)상에 세팅된 4대의 카세트(44) 사이에서 웨이퍼(W)를 출납할 수 있다.
또한, 이들 플라즈마 처리 유닛(32) 및 CVD 처리 유닛(33)은 호환성이 있어서, 플라즈마 처리 유닛(32)과 CVD 처리 유닛(33)을 교체하는 것도 가능하고 및/또는 플라즈마 처리 유닛(32)이나 CVD 처리 유닛(33)의 위치에 1기 또는 2기의 싱글 챔버형 CVD 처리 유닛이나 플라즈마 처리 유닛을 세팅하는 것도 가능하다.
(플라즈마 처리의 일 형태)
도 2는 본 발명의 처리에 사용 가능한 플라즈마 처리 유닛(32)의 모식적인 수직 단면도이다.
도 2를 참조하면, 참조 번호 50은 예컨대 알루미늄으로 형성된 진공 용기이다. 이 진공 용기(50)의 상면에는 기판(예컨대 웨이퍼 W)보다도 큰 개구부(51)가 형성되어 있고, 이 개구부(51)를 막도록, 예컨대 석영이나 산화알루미늄 등의 유전체로 구성된 평평한 원통 형상의 상판(54)이 설치되어 있다. 이 상판(54)의 하면인 진공 용기(50)의 상부측 측벽에는, 예컨대 그 주위 방향을 따라 균등하게 배치한 16 지점의 위치에 가스 공급관(72)이 설치되고, 이 가스 공급관(72)으로부터 O2나 희가스, N2 및 H2 등에서 선택된 1종 이상을 포함하는 처리 가스가 진공 용기(50)의 플라즈마 영역(P) 근방에 고르고 균등하게 공급되도록 되어 있다.
상판(54)의 외측에는, 복수의 슬롯이 있는 평면 안테나 부재, 예컨대 강판으로 형성된 슬롯 플레인 안테나(Slot Plane Antenna; SPA; 60)를 통하여, 고주파 전원부를 이루고 예컨대 2.45 GHz의 마이크로파를 발생시키는 마이크로파 전원부(61)에 접속된 도파로(63)가 마련되어 있다. 이 도파로(63)는 SPA(60)에 하측 연부가 접속된 편평한 원형 도파관(63A)과, 이 원형 도파관(63A)의 상면에 일단측이 접속된 원통형 도파관(63B)과, 이 원통형 도파관(63B)의 상면에 접속된 동축 도파 변환기(63C)와, 이 동축 도파 변환기(63C)의 측면에 직각으로 일단측이 접속되고, 타단측이 마이크로파 전원부(61)에 접속된 장방형 도파관(63D)을 조합하여 구성된다.
여기서, 본 발명에 있어서는, UHF와 마이크로파를 포함하여 고주파 영역이라 부르는 것으로 한다. 즉, 고주파 전원부로부터 공급되는 고주파 전력은 300 MHz 이상의 UHF나 1 GHz 이상의 마이크로파를 포함한 300 MHz 이상 2500 MHz 이하의 것으로 하고, 이들 고주파 전력에 의해 발생되는 플라즈마를 고주파 플라즈마라고 부르는 것으로 한다.
상기 원통형 도파관(63B)의 내부에는, 도전성 재료로 이루어진 축부(62)가 그 일단측이 SPA(60)의 상면의 대략 중앙에 접속되고, 타단측이 원통형 도파관(63B)의 상면에 접속되도록 동축형으로 설치되어 있고, 이에 따라 그 도파관(63B)은 동축 도파관으로서 구성되어 있다.
또한, 진공 용기(50) 내에는 상판(54)과 대향하도록 웨이퍼(W) 적재대(52)가 설치되어 있다. 이 적재대(52)에는 도시하지 않은 온도 조절부가 내장되어 있고, 이로 인해 그 적재대(52)는 열판으로서 기능하도록 되어 있다. 또한, 진공 용기(50)의 저부에는 배기관(53)의 일단측이 접속되어 있고, 이 배기관(53)의 타단측은 진공 펌프(55)에 접속되어 있다.
(SPA의 일 형태)
도 3은 본 발명의 전자 디바이스 재료의 제조 장치에 사용할 수 있는 SPA(60)의 일례를 도시하는 모식 평면도이다.
상기 도 3에 도시한 바와 같이, 이 SPA(60)의 표면에는 복수의 슬롯(60a, 60a, …)이 동심 원형으로 형성되어 있다. 각 슬롯(60a)은 대략 사각형의 관통된 홈으로서, 인접 슬롯끼리는 서로 직교하여 대략 알파벳 「T」자를 형성하도록 마련되어 있다. 슬롯(60a)의 길이나 배열 간격은 마이크로파 전원부(61)로부터 발생한 마이크로파의 파장에 따라 결정된다.
(CVD 처리 유닛의 일 형태)
도 4는 본 발명의 전자 디바이스 재료의 제조 장치에 사용할 수 있는 CVD 처리 유닛(33)의 일례를 도시하는 모식적인 수직 단면도이다.
도 4에 도시한 바와 같이, CVD 처리 유닛(33)의 처리실(82)은 예컨대 알루미늄 등으로 기밀 가능한 구조로 형성되어 있다. 이 도 4에서는 생략되어 있지만, 처리실(82) 내에는 가열 기구나 냉각 기구가 마련되어 있다.
도 4에 도시한 바와 같이, 처리실(82)에는 상부 중앙에 가스를 도입하는 가스 도입관(83)이 접속되어 있으며, 처리실(82) 내부와 가스 도입관(83) 내부가 연통되어 있다. 또한, 가스 도입관(83)은 가스 공급원(84)에 접속되어 있다. 그리고, 가스 공급원(84)으로부터 가스 도입관(83)에 가스가 공급되고, 가스 도입관(83)을 통해 처리실(82) 내에 가스가 도입된다. 이러한 가스로서는, 게이트 전극 형성의 원료가 되는, 예컨대 실란 등의 각종 가스(전극 형성 가스)를 이용할 수 있고, 필요에 따라 불활성 가스를 캐리어 가스로서 이용할 수도 있다.
처리실(82)의 하부에는 처리실(82) 내의 가스를 배기하는 가스 배기관(85)이 접속되어 있고, 이 가스 배기관(85)은 진공 펌프 등으로 이루어진 배기 수단(도시하지 않음)에 접속되어 있다. 이 배기 수단에 의해 처리실(82) 내의 가스가 가스 배기관(85)으로부터 배기되어, 처리실(82) 내부는 원하는 압력으로 설정된다.
또한, 처리실(82)의 하부에는 웨이퍼(W)를 적재하는 적재대(87)가 배치되어 있다.
상기 도 4에 도시한 형태에 있어서는, 웨이퍼(W)와 대략 동일 직경 크기의 도시하지 않은 정전 척에 의해 웨이퍼(W)가 적재대(87) 상에 적재되어 있다. 이 적재대(87)에는 도시하지 않은 열원 수단이 내장되어 있어서, 적재대(87) 상에 적재된 웨이퍼(W)의 처리면을 원하는 온도로 조정할 수 있는 구조로 형성되어 있다.
이 적재대(87)는 필요에 따라 적재한 웨이퍼(W)를 회전시킬 수 있는 기구로 되어 있다.
도 4에 있어서, 적재대(87)의 우측 처리실(82) 벽면에는 웨이퍼(W)를 출납하기 위한 개구부(82a)가 마련되어 있고, 이 개구부(82a)는 게이트 밸브(98)를 도면 중 상하 방향으로 이동시킴으로써 개폐된다. 도 4에서, 게이트 밸브(98)의 더욱 우측에는 웨이퍼(W)를 반송하는 반송 아암(도시하지 않음)이 인접하게 설치되어 있고, 이 반송 아암은 개구부(82a)를 통해 처리실(82) 내에 출입하여 적재대(87) 상에 웨이퍼(W)를 적재하거나 처리 후의 웨이퍼(W)를 처리실(82)로부터 반출하도록 되어 있다.
적재대(87)의 상측에는 샤워 부재로서의 샤워 헤드(88)가 설치되어 있다. 이 샤워 헤드(88)는 적재대(87)와 가스 도입관(83) 사이의 공간을 구획하도록 형성되어 있고, 예컨대 알루미늄 등으로 형성되어 있다.
샤워 헤드(88)는 그 상부 중앙에 가스 도입관(83)의 가스 출구(83a)가 위치하도록 형성되고, 샤워 헤드(88)의 하부에 설치된 가스 공급 구멍(89)을 통해 처리실(82) 내에 가스가 도입된다.
(전자 디바이스 재료의 제조 형태)
다음에, 본 발명에 따른 전자 디바이스 재료의 제조 방법의 일 형태에 대해서 이하에 설명한다.
도 5는 본 형태에 따른 전자 디바이스 재료의 제조 방법의 플로 차트이며, 도 6 내지 도 8은 본 형태에 따른 플래시 메모리 셀의 각 제조 공정을 도시한 모식적인 수직 단면도이다.
이 형태에 있어서는, 우선 도 5 및 도 6b에 도시한 바와 같이, 피처리 기체로서의 p형 Si로 이루어진 웨이퍼(W)에 선택적으로 이온 주입과 어닐링 공정에 의해 n+ 층인 매립형 데이터 라인(불순물 매립층; 22)을 형성한다(단계 1).
다음에, 도 6c에 도시한 바와 같이, 제1 절연막을 형성하기 위해서 웨이퍼(W)를 가열하거나 CVD 처리하여 표면을 처리하고, 웨이퍼(W) 전면에 SiO2막(제1 SiO2막; 23)을 형성한다(단계 2). 또한, 가열 산화에 의해 SiO2막(23)을 형성하는 경우에는 가열 유닛(36)이나 가열 반응로(47; 도 1)를 사용하고, CVD법에 의해 SiO2막(23)을 형성하는 경우에는 CVD 처리 유닛(33; 도 1)을 사용할 수 있다.
다음에, 도 6d에 도시한 바와 같이, 표면에 제1 SiO2막(23)이 형성된 웨이퍼(W)를 CVD 처리 유닛(33)의 챔버 내로 반입하고, 처리 가스, 예컨대 실란 가스의 존재 하에 가열하여 상기 제1 SiO2막(23) 표면에 다결정 실리콘층(제1 다결정 실리콘층; 24)을 형성한다(단계 3).
계속해서, 상기 제1 다결정 실리콘층을, 예컨대 포토리소그래피 및 드라이 에칭 기법에 의해 선택적으로 에칭하고 패터닝하여(단계 4), 도 7a에 도시한 바와 같이 상기 SiO2막(23) 상에 부유 게이트(Floating Gate; 25)를 형성한다.
계속해서, 다시 웨이퍼(W)를 CVD 처리 유닛(33; 도 1) 내로 반입하고, 웨이퍼(W) 표면 상에 CVD 처리를 실시하여, 도 7b에 도시한 바와 같이, 노출된 상기 부유 게이트(25) 상에 제2 SiO2층(26)을 제2 절연층으로서 형성한다(단계 5).
다음에, 이 웨이퍼(W)를 플라즈마 처리 유닛(32; 도 1) 내로 반입하고, 여기서 제2 SiO2층(26)에 플라즈마 처리를 실시하여, 제2 SiO2층(26)을 개질한다(단계 6).
즉, CVD 처리 유닛(33) 내에 반송 아암(37, 38)을 진입시켜 표면에 SiO2층이 형성된 웨이퍼(W)를 취출하고, 계속해서 플라즈마 처리 유닛(32) 내의 진공 용기(50)의 측벽에 마련된 게이트 밸브(도시하지 않음)를 개방하여 반송 아암(37, 38)에 의해 상기 웨이퍼(W)를 적재대(52) 상에 적재한다.
계속해서 게이트 밸브를 폐쇄하여 내부를 밀폐한 후, 진공 펌프(55)에 의해 배기관(53)을 통해 내부 분위기를 배기하여 소정의 진공도까지 진공으로 되게 하고, 소정의 압력으로 유지한다. 한편, 마이크로파 전원부(61)로부터 예컨대 1.80 GHz(2200W)의 마이크로파를 발생시켜, 이 마이크로파를 도파로에 의해 안내하여 SPA(60) 및 상판(54)을 통해 진공 용기(50) 내로 도입하고, 이로써 진공 용기(50) 내의 상부측의 플라즈마 영역(P)에서 고주파 플라즈마를 발생시킨다.
여기서 마이크로파는 직사각형 도파관(63D) 내에서 직사각형 모드로 전송되 고, 동축 도파 변환기(63C)에서 직사각형 모드로부터 원형 모드로 변환되어, 원형 모드로 원통형 동축 도파관(63B)으로 전송되며, 또한 평판형 도파로(63A)에서 직경 방향으로 전송되고, SPA(60)의 슬롯(60a)으로부터 방사되며, 상판(54)을 투과하여 진공 용기(50)로 도입된다. 이 때 마이크로파를 이용하기 때문에 고밀도, 저전자 온도의 플라즈마가 발생되고, 또한 마이크로파를 SPA(60)의 다수의 슬롯(60a)으로부터 방사하고 있기 때문에 플라즈마가 매우 균일한 분포로 된다.
그리고, 적재대(52)의 온도를 조절하여 웨이퍼(W)를 예컨대 400℃로 가열하면서, 가스 공급관(72)으로부터 산화막 형성용 처리 가스인 크립톤이나 아르곤 등의 희가스와, O2 가스를 소정의 유량으로 도입하여 개질 처리를 실시한다.
예컨대, 이 플라즈마 처리는 이하의 조건에서 적합하게 수행될 수 있다. 즉, 처리 가스로서 유량 5∼50 sccm의 O2 및 유량 500∼2000 sccm의 크립톤 혼합 가스를 이용하고 300∼700℃, 2.7∼135 Pa(20∼1000 mTorr)의 압력 하에 플라즈마원의 출력이 1∼3 W/cm2인 조건 하에서 행할 수 있다.
이 공정에서, 도입된 처리 가스는 플라즈마 처리 유닛(32) 내에서 발생한 플라즈마류에 의해 활성화(래디컬화)되고, 이 플라즈마에 의해 웨이퍼(W) 최상면을 덮는 SiO2막(26)의 개질이 행해진다. 이와 같이 하여, 상기 개질 처리를 예컨대 40초간 행하고, 웨이퍼(W) 최상면의 SiO2막(26)의 표면에 상기 처리 가스의 플라즈마를 작용시켜 개질을 행한다. 이 때에 발생하는 처리 가스의 플라즈마는 전자 온도 가 낮고, 따라서 처리 가스의 플라즈마와 SiO2막(26)과의 바이어스는 낮은 값으로 된다. 이 때문에, 처리 가스의 플라즈마가 SiO2막(26)에 접촉할 때에 가해지는 충격은 작고, 소위 처리 가스 플라즈마가 SiO2막(26) 표면에 충돌할 때에 SiO2막(26)에 가해지는 플라즈마 손상은 작다. 이 때문에 SiO2막(26) 표면 및 막 내부의 댕글링 본드가 적절히 종단되고, SiO2막(26)은 고품질의 매끄러운 상태를 얻을 수 있다.
다음에, 이와 같이 하여 플라즈마에 의해 개질한 후, 선택 에칭(예컨대 포토리소그래피 및 드라이 에칭의 기법) 등에 의해 패터닝한다(단계 7).
다음에 패터닝이 완료된 웨이퍼(W)를 CVD 처리 유닛(33) 내로 반입하여, 이 CVD 처리 유닛(33) 내에서 처리 가스, 예컨대 실란 가스의 존재 하에 웨이퍼(W)를 가열하고, 도 7d에 도시한 바와 같이, 상기 개질된 SiO2막(26)의 표면 전체에 걸쳐 제2 다결정 실리콘층(27)을 형성한다(단계 8).
다음에, 상기 제2 다결정 실리콘층(27)을 선택적 에칭 등의 방법에 의해 패터닝하여(단계 9), 도 8a에 도시한 바와 같이 제어 게이트(28)를 형성한다.
계속해서, 도 8b에 도시한 바와 같이 제어 게이트(28) 위에 제3 절연층(SiO2막; 29)을, 예컨대 CVD에 의해 형성한다(단계 10).
계속해서, 도 8c에 도시한 바와 같이 제3 절연층을 패터닝하여 데이터 라인(n+층; 22)의 일부를 노출시킨다(단계 11).
추가로, 도 8d에 도시한 바와 같이 절연층(23, 26, 29)과 데이터 라인(22) 상에 알루미늄 등의 금속을 증착하여 금속층(31)을 형성한다(단계 12). 또한, 이 금속층을 (예컨대, 포토리소그래피 및 선택적 에칭에 의해) 패터닝하여 전극을 형성한다(단계 13).
이후, 일반적인 방법에 의해 절연막 형성 공정, 패시베이션층 형성 공정, 콘택 홀 형성 공정 및 배선 형성 공정 등을 행하여 셀 제조 공정을 완료한다(이러한 절연막 형성 공정, 패시베이션층 형성 공정, 콘택 홀 형성 공정 및 배선 형성 공정 등을 포함하는 셀 제조 공정에 대해서는, 예컨대 문헌 ULSI TECHNOLOGY McGRAW-HILL INTERNATIONAL EDITIONS C. Y. CHANG, S.M.SZE를 참조할 수 있음).
전술한 SiO2막(26)의 개질 공정(단계 6)에서는, SiO2막(26)을 개질할 때에, 처리 가스 분위기 하에서, 단결정 실리콘을 주성분으로 하는 웨이퍼(W)에 복수의 슬롯이 있는 평면 안테나 부재(SPA)를 통해 마이크로파를 조사함으로써 산소(O2) 및 희가스를 함유하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 상기 SiO2막(26)을 개질하고 있기 때문에, 품질이 높고, 또한 막의 품질 제어를 시종 잘 행할 수 있다.
상기 개질 후의 산화막(SiO2막; 26)의 품질은 도 9의 그래프에 도시한 바와 같이 높다.
도 9는 본 형태에 따른 전자 디바이스 재료의 제조 방법의 개질 공정(단계 6)에 의해 SiO2막(26) 표면에 SPA를 통해 플라즈마를 작용시켜 개질 처리를 실시한 SiO2막(26)의 신뢰성 평가 결과를 나타낸 그래프이다.
이 그래프의 종축은 고장률의 값을 취하고, 횡축은 Qbd값(절연 파괴 전하)을 취하였다.
본 측정에 있어서의 디바이스 구조는 이하 1 내지 7과 같은 방법으로 형성되었다.
1: 기판
기판에는 P형 혹은 N형의 실리콘 기판을 이용하고, 비저항이 1∼30 Ωcm, 면방위(100)의 것을 이용한다. 실리콘 기판 표면에는 500A 희생 산화막이 성막되어 있다.
2: 게이트 산화전 세정
APM〔암모니아, 과산화수소수, 순수(純水)의 혼합액〕과 HPM(염산, 과산화수소수, 순수의 혼합액) 및 DHF(플루오르화수소산과 순수의 혼합액)을 조합시킨 RCA 세정에 의해 희생 산화막과 오염 요소(금속이나 유기물, 파티클)를 제거하였다.
3: SiO2의 성막
CVD에 의해 SiO2막을 성막하였다. 780℃로 가열한 상기 기판 상에 SiH2Cl2와 N2O를 각각 200 sccm, 400 sccm씩 흐르게 하고, 압력을 60 Pa로 유지하여 30분간 처리를 행하여, 60A의 CVD 산화막(High Temperature Oxide: HTO)을 성막하였다.
4: 플라즈마 산화 프로세스
상기 3의 Si02막이 성막된 실리콘 기판을 다음에 표시하는 바와 같은 방법으로 개질하였다. 상기 3의 SiO2막이 성막된 실리콘 기판을 400℃로 가열하고, 웨이퍼 상에 희가스와 산소를 각각 1000 sccm, 20 sccm씩 흐르게 하고, 압력을 13 Pa∼107 Pa(100 mTorr∼900 mTorr)로 유지한다. 그 분위기 중에 복수의 슬롯이 있는 평면 안테나 부재(SPA)를 통해 3 W/cm2의 마이크로파를 조사함으로써 산소 및 희가스를 함유하는 플라즈마를 형성하고, 이 플라즈마를 이용하여 상기 3의 SiO2막의 개질을 행하였다.
5: 게이트 전극용 폴리실리콘 성막
상기 3, 4에서 형성한 SiO2막 상에 게이트 전극으로서 폴리실리콘을 CVD법에 의해 성막하였다. SiO2막이 성막된 실리콘 기판을 630℃로 가열하고, 기판 상에 실란 가스 250 sccm을 33 Pa의 압력 하에서 도입하여 30분간 유지함으로써 SiO2막 상에 막 두께 3000A의 전극용 폴리실리콘을 성막한다.
6: 폴리실리콘에 P(인) 도프
상기 5에서 제작한 실리콘 기판을 800℃로 과열하고, 기판 상에 POCl3 가스와 산소 및 질소를 각각 350 sccm, 200 sccm, 20000 sccm씩 상압 하에서 도입하여 24분간 유지함으로써 폴리실리콘 속에 인을 도프하였다.
7: 패터닝, 게이트 에치
상기 6에서 제작한 실리콘 기판 상에 리소그래피에 의해 패터닝을 행하고, HF : HNO3 : H20 = 1 : 60 : 60의 비의 약액 속에 실리콘 기판을 3분간 침지함으로써 패터닝되지 않은 부분의 폴리실리콘을 녹여, MOS 커패시터를 제작하였다.
다음에 나타내는 방법으로 측정을 행하였다. 게이트 전극 면적이 10000 um2인 커패시터에 -0.1 A/cm2의 일정 전류의 스트레스를 인가하고, 절연 파괴가 일어날 때까지의 시간(Break Down Time: Tbd)을 측정하였다. 절연 파괴 전하(Qbd)는 전류 스트레스 -0.1 A/cm2와 Tbd의 곱의 절대값이다.
또한, 그래프 ①은 참조를 위해 종래의 CVD법에 의해 형성한 SiO2막(High Temperature Oxide: HTO)의 Qbd값을 나타내고, 그래프 ②는 O2와 희가스로서의 크립톤의 2 존재 하에 SPA를 이용하여 상기 SiO2막을 압력 100 mTorr로 플라즈마 처리하여 얻은 것의 Qbd값을 표시하고, 그래프 ③은 상기 SiO2막을 O2와 크립톤의 존재 하에 SPA를 이용하여 압력 500 mTorr로 플라즈마 처리하여 얻은 것의 Qbd값을 표시하며, 그래프 ④는 마찬가지로 상기 SiO2막을 O2와 크립톤의 존재 하에 SPA를 이용하여 압력 900 mTorr로 플라즈마 처리하여 얻은 것의 Qbd값을 표시한다.
도 9의 그래프로부터 판명된 바와 같이, 종래의 CVD법에 의해 형성되는 SiO2 막의 Qbd값에 비하여 본 발명의 제조 방법에 의해 개질된 SiO2막의 Qbd값이 높고, 신뢰성이 높은 고품질의 디바이스 특성이 기대된다.
본 발명의 전자 디바이스 제조 방법에 의해, 종래의 CVD 산화막보다 고품질이고, 높은 Qbd값을 갖춘 산화막으로 개질 형성할 수 있다.
(고품질의 개질 절연막의 추정 메카니즘)
이와 같이 전술한 방법에 의해 개질된 절연막의 품질이 높아지는 이유는 본 발명자의 지견에 따르면, 다음과 같이 추정된다.
즉, SPA를 이용하여 처리 가스에 마이크로파를 조사함으로써 형성되는 플라즈마는 고밀도이고 전자 온도가 비교적 낮은 플라즈마이다. 이로 인해, 고밀도의 라디칼을 생성할 수 있고, 또한 플라즈마와 피처리 기체 표면과의 바이어스는 비교적 낮은 값으로 억제되어 플라즈마 손상이 작다. 그 때문에 SiO2막 내부의 댕글링 본드가 플라즈마에 의해 발생된 산소 반응종에 따라 적절히 종단되고, 약한 Si-Si 결합이 강고한 Si-O-Si 결합으로 변함으로써, 도 9에 도시한 바와 같은 양호한 전기 특성을 갖은 SiO2막으로 개질 형성되는 것으로 생각된다.
실시예
이하, 실시예에 의해 본 발명을 더욱 구체적으로 설명한다.
단결정 실리콘을 주성분으로 하는 피처리 기체 상에 제1 SiO2막을 10 nm 정도 형성하고, 상기 피처리 기체에 CVD 처리를 행하여 상기 제1 SiO2막 상에 제1 다 결정 실리콘층을 100 nm∼300 nm 정도 형성한다. 그 후 상기 피처리 기체에 CVD 및 고온 산화 가열 처리를 행하여, 상기 제1 다결정 실리콘층 상에 5∼10 nm 정도 두께의 제2 SiO2막을 형성한다.
형성된 피처리체를 400℃로 가열된 적재대 상에 놓고, 그 제2 SiO2막 표면을 아르곤 1000 sccm, 산소 가스 50 sccm, 전압 500 mT의 분위기에서, SPA(Slot Plane Antenna)를 통해 2 W/cm2의 마이크로파를 조사함으로써 생성한 플라즈마에 2분 정도 노출시킨다. 이들 공정과 함께 CVD, 고온 가열 산화 처리를 행한 제2 SiO2막을 개질하여, 특성을 개선시키는 것이다.
또한, 본 발명은 전술한 형태로 한정되지 않는다. 예컨대, 상기 형태에서는 2개의 다결정 실리콘층(25, 28) 사이의 절연층(SiO2층; 26)에만 SPA를 통해 생성한 처리 가스 플라즈마를 이용하여 표면 처리를 행하는 구성으로 하였지만, 상기 이외의 절연층, 예컨대 SiO2층(23, 29)의 한쪽 또는 양쪽에 상기와 마찬가지로 SPA를 통해 생성한 처리 가스 플라즈마를 이용한 표면 처리를 행하여도 좋다.
또한, 2개의 다결정 실리콘층(25, 28)의 표면을 SPA를 통해 생성한 처리 가스 플라즈마를 이용하여 표면 개질함으로써, 2개의 다결정 실리콘층의 표면이 평활해지고, 다결정 실리콘층(25, 28) 사이의 절연층(26; SiO2나 SiN으로 형성된 층)의 신뢰성 향상을 기대할 수 있다. 또한, 본 공정의 처리 가스에 희가스와 질소 가스를 이용하는 것 등에 의해 25 혹은 28의 다결정 실리콘의 내산화성이 향상되어, 후 속 공정에 있어서 다결정 실리콘의 면적 변동의 억제를 기대할 수 있다.
더욱이, 25의 다결정 실리콘 표면을 SPA를 통해 생성한 처리 가스 플라즈마를 이용하여 산화함으로써, 26의 Si02를 형성하는 것도 가능하다. 이 공정은 저온에서 처리하는 것이 가능하다. 통상의 열산화 공정에서는 디바이스 특성이 고온에 의해 열화될 우려가 있지만, 본 공정을 이용함으로써 열공정에 의한 디바이스 특성의 열화(도펀트의 확산 등)를 억제하면서 산화막을 형성하는 것이 가능해진다.
그 경우에는 25∼27의 공정까지, 대기에 노출되는 일없이, 또한 도 1에 도시된 반도체 제조 장치 내에서 자동 연속 처리하는 것이 가능하여, 반도체 성능의 신뢰성 향상 및 제조 공정의 간소화를 기대할 수 있다.
(제2 형태)
이하에, 본 발명의 제2 형태에 대해서 설명한다. 이 제2 형태에 있어서는, 논리 디바이스의 제조 공정에서 절연막을 SPA 플라즈마 처리에 의해 표면 개질시키는 구성으로 하였다.
도 10은 본 형태에 따른 논리 디바이스의 제조 공정을 도시한 플로 차트이고, 도 11은 본 형태에 따른 논리 디바이스의 제조 공정을 모식적으로 도시한 모식적인 수직 단면도이다.
본 형태에 따른 논리 디바이스의 제조 방법은 크게 분류하여 이하와 같은 흐름으로 된다.
소자 분리 →MOS 트랜지스터 제작 →용량 제작 →층간 절연막 성막 및 배선
이하에 SPA의 프로세스가 포함되는 MOS 트랜지스터 제작 중의 이전 공정인 MOS 구조의 제작에 대해서, 일반적인 예를 들어 설명한다.
1: 기판
기판에는 P형 혹은 N형의 실리콘 기판을 이용하고, 비저항이 1∼30 Ωcm, 면방위(100)의 것을 이용한다.
실리콘 기판 상에는 목적에 따라 STI나 LOCOS 등의 소자 분리 공정이나 채널 인프라가 실시되어 있고, 게이트 산화막이나 게이트 절연막이 성막되는 실리콘 기판 표면에는 희생 산화막이 성막되어 있다(도 11a).
2: 게이트 산화막(게이트 절연막) 성막 전의 세정
일반적으로 APM(암모니아, 과산화수소수, 순수의 혼합액)과 HPM(염산, 과산화수소수, 순수의 혼합액) 및 DHF(플루오르화수소산과 순수의 혼합액)를 조합시킨 RCA 세정에 의해 희생 산화막과 오염 요소(금속이나 유기물, 파티클)를 제거한다. 필요에 따라, SPM(황산과 과산화수소수의 혼합액), 오존수, FPM(플루오르화수소산, 과산화수소수, 순수의 혼합액), 염산수(염산과 순수의 혼합액), 유기 알칼리 등을 이용할 때도 있다.
3: 게이트 산화막(게이트 절연막)의 형성
게이트 절연막 형성은 열산화를 이용하는 프로세스와, CVD를 이용하는 프로세스로 크게 분류된다. 여기서는 주로 CVD에 의한 게이트 절연막의 형성에 대해서 기술한다. CVD에 의한 게이트 절연막의 형성은, 원료 가스(예컨대 SiH4와 N2O)를 200℃ 내지 1000℃의 범위 내에서 가열한 전술한 실리콘 기판 상에 공급하고, 열에 의해 형성된 반응종(예컨대 Si 라디칼과 0 라디칼)을 막 표면에서 반응시킴으로써 성막(예컨대 SiO2)을 행한다. 반응종은 플라즈마에 의해 생성되는 경우도 있다. 일반적으로 게이트 산화막의 막 두께로는 1 nm 내지 10 nm의 막 두께가 이용된다(도 11b).
4: SPA 플라즈마에 의한 게이트 절연막 개질 처리
상기 3에 기술한 CVD에 의한 절연막에 SPA 플라즈마를 형성하는 가스를 희가스와 산소를 주로 함으로써, CVD막의 개질을 행한다. 산화에 의한 효과로는, 막 내부의 약한 Si-Si 결합을 강고한 Si-O-Si 결합으로 바꾸어 막특성의 개선을 목표로 하는 효과 등이 있다. 또한, SPA 플라즈마를 형성하는 가스를 희가스와 질소를 함유하는 가스로 함으로써 플라즈마 질화 처리를 행하는 것도 가능하다. 질화에 의한 효과로는 고유전율화에 의한 박막화나 게이트 전극으로부터의 도펀트의 확산 억제 작용 등이 있다(도 11b).
5: 게이트 전극용 폴리실리콘 성막
상기 3, 4에서 형성한 게이트 절연막(게이트 산화막, 게이트 산질화막을 포함) 상에 MOS 트랜지스터의 게이트 전극으로서 폴리실리콘(비정질 실리콘을 포함)을 CVD법에 의해 성막한다. 게이트 절연막이 성막된 실리콘 기판을 500℃ 내지 650℃의 범위 내에서 가열하고, 기판 상에 실리콘을 함유하는 가스(실란, 디실란 등)를 10 내지 100 Pa의 압력 하에서 도입함으로써 게이트 절연막 상에 막 두께 50 nm에서 500 nm의 전극용 폴리실리콘을 성막한다. 게이트 전극으로서는 폴리실리콘 대신에, 실리콘 게르마늄이나 메탈(W, Ru, TiN, Ta, Mo 등)이 이용되는 경우가 있다(도 11c).
그 후, 게이트의 패터닝, 선택 에칭을 행하여, MOS 커패시터를 형성하고(도 11d), 이온 에칭에 의해 소스, 드레인을 형성한다(도 11e). 계속해서 후속 공정인 층간 절연막의 성막, 패터닝, 선택 에칭, 메탈의 성막을 조합시킨 배선 공정을 거쳐 본 형태에 따른 논리 디바이스를 얻을 수 있다(도 11f).
또한, 본 형태에서는 절연막으로서 산화막(SiO2막)을 형성하였지만, 그 이외의 조성으로 이루어진 절연막을 형성하는 것도 가능하다. 게이트 절연막으로는, 종래부터 사용되고 있는 저유전율의 SiO2, SiON, SiN이나 유전율이 높은 Al2O3, ZrO2, HfO2, Ta2O5, 및 ZrSiO, HfSiO 등의 실리케이트나 ZrAlO 등의 알루미네이트로 이루어진 군에서 선택되는 1 또는 2 이상의 것을 들 수 있다.
본 형태에서는, SPA를 통해 생성되는 저온 고밀도 플라즈마에 의해 공급되는 활성 원자가 막표면 혹은 막 내부를 종단시키는 효과를 갖는 이외에도, 희가스와 질소를 함유하는 가스로 이루어진 플라즈마에 의해 공급되는 질소 반응종이 표면층으로 들어감으로써 다결정 실리콘으로부터의 도펀트의 확산을 억제하는 배리어로서의 효과를 기대할 수 있다.
전술한 바와 같이 본 발명에 따르면, 전자 디바이스용 기판 상에 배치된 절 연막에 대하여, 복수의 슬롯이 있는 평면 안테나 부재(SPA)를 통해 마이크로파를 조사하는, 소위 SPA 안테나를 이용하는 방법으로 실리콘 기판 상에 직접 플라즈마를 공급하여 절연막(예컨대 SiO2막)을 개질 처리할 수 있다. 이 때문에, 절연막 자체를 손상시키는 일없이 절연막 표면 혹은 막 내부의 댕글링 본드를 적합한 형태로 종단시킬 수 있고, 고품질의 절연막, 나아가서는 고품질의 전자 디바이스(예컨대 반도체 장치)를 얻을 수 있다.

Claims (34)

  1. 기판을 처리하는 방법으로서,
    기판 상에 절연막을 형성하는 공정과,
    산소 및 질소 중 적어도 하나를 포함하는 처리 가스로부터 생성되고, 0.5~2 eV의 전자 온도를 가지는 플라즈마에 상기 절연막을 노출시켜 상기 절연막을 처리하는 공정을 포함하는 것인 기판을 처리하는 방법.
  2. 제1항에 있어서, 상기 처리 가스는 수소를 더 포함하는 것인 기판을 처리하는 방법.
  3. 제1항에 있어서, 상기 절연막은 CVD , 고온 열 산화 또는 플라즈마 중 하나에 의해 형성된 SiO2 막인 것인 기판을 처리하는 방법.
  4. 제1항 또는 제2항에 있어서, 상기 처리 가스는 희가스를 더 포함하는데, 상기 희가스는 크립톤, 아르곤 또는 헬륨으로부터 선택되는 것인 기판을 처리하는 방법.
  5. 제1항에 있어서, 상기 플라즈마는 1 x 1010~5 x 1012 / cm3 의 밀도를 가지는 것인 기판을 처리하는 방법.
  6. 제1항에 있어서, 상기 절연막은 실온∼700℃의 온도에서 처리되는 것인 기판을 처리하는 방법.
  7. 제1항에 있어서, 상기 절연막은 20∼5000 mTorr의 압력에서 개질되는 것인 기판을 처리하는 방법.
  8. 제1항에 있어서, 상기 플라즈마는 0.5∼5 W/cm2의 출력으로 형성되는 것인 기판을 처리하는 방법.
  9. 전자 디바이스를 제조하기 위한 기판의 처리 방법으로서,
    상기 기판 상에 제1 절연막을 형성하는 단계;
    상기 제1 절연막 상에 배치되도록 제1 다결정 실리콘 층을 형성하는 단계;
    상기 제1 다결정 실리콘 층에 배치되도록 제2 절연막을 형성하는 단계; 및
    희가스와 더불어, 산소 또는 질소를 포함하는 처리 가스로부터 생성되고, 0.5~2 eV의 전자 온도를 가지는 플라즈마에 상기 기판을 노출시켜 상기 제1 및/또는 제2 절연막을 처리하는 단계를 포함하는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  10. 제9항에 있어서, 상기 처리 가스는 수소를 더 포함하는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  11. 제9항에 있어서, 상기 제2 절연막 상에 제2 다결정 실리콘 층이 형성되는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  12. 제9항에 있어서, 상기 제2 다결정 실리콘 층은 CVD에 의해 형성되는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  13. 제9항 또는 제12항에 있어서, 상기 제1 및/또는 제2 절연막은 산화 실리콘을 포함하고, 상기 제1 및/또는 제2 산화 실리콘 막은 CVD 또는 고온 열 산화 플라즈마에 의해 형성되는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  14. 제9항에 있어서, 상기 기판은 반도체 재료이거나 액정 디바이스를 위한 재료인 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  15. 제9항에 있어서, 상기 기판은 주 성분으로서 단결정을 포함하는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  16. 제9항에 있어서, 상기 제1 다결정 실리콘층을 형성하는 단계와, 상기 제1 다결정 실리콘층 상에 제2 SiO2막을 형성하는 단계 사이에, 처리 가스로부터 생성된 플라즈마에 상기 기판을 노출시켜 상기 제1 또는 제2 다결정 실리콘층을 처리하는 단계를 더 포함하는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  17. 플라즈마 처리 방법으로서,
    기판 상에 게이트 절연막을 형성하는 단계와,
    질소 가스를 포함하는 처리 가스로부터 생성되고, 0.5~2 eV의 전자 온도를 가지는 플라즈마 질소에, 상기 기판 상에 형성된 상기 게이트 절연막을 처리하는 단계를 포함하는 플라즈마 처리 방법.
  18. 제17항에 있어서, 상기 처리 가스는 수소를 더 포함하는 것인 플라즈마 처리 방법.
  19. 제17항에 있어서, 상기 게이트 절연막은 CVD, 고온 열 산화 또는 플라즈마 중 하나에 의해 형성된 SiO2 막인 것인 플라즈마 처리 방법.
  20. 제17항에 있어서, 상기 처리 가스는 희가스를 더 포함하는데, 상기 희가스는 크립톤, 아르곤 또는 헬륨으로부터 선택되는 것인 플라즈마 처리 방법.
  21. 제17항에 있어서, 상기 게이트 절연막은 실온∼700℃의 온도에서 처리되는 것인 플라즈마 처리 방법.
  22. 제17항에 있어서, 상기 게이트 절연막은 20∼5000 mTorr의 압력에서 처리되는 것인 플라즈마 처리 방법.
  23. 제17항에 있어서, 상기 플라즈마는 0.5∼5 W/cm2의 출력으로 형성되는 것인 플라즈마 처리 방법.
  24. 제17항에 있어서, 상기 플라즈마는 1 x 1010~5 x 1012 / cm3 의 밀도를 가지는 것인 플라즈마 처리 방법.
  25. 제17항에 있어서, 상기 절연막은 SiO2, 실리콘산질화막(Si0N), 질화실리콘(SiN), 산화알루미늄(Al2O3), 산화지르코늄(ZrO2), 산화하프늄(HfO2), 실리케이트 및 알루미네이트로 이루어진 군에서 선택되는 1 또는 2 이상인 것인 플라즈마 처리 방법.
  26. 제25항에 있어서, 상기 실리케이트는 ZrSi0 혹은 HfSiO의 조성을 갖는 실리케이트이며 및/또는 상기 알루미네이트는 ZrAlO 혹은 HfAlO의 조성을 갖는 알루미네이트인 것인 플라즈마 처리 방법.
  27. 전자 디바이스를 제조하기 위한 기판의 처리 방법으로서,
    상기 기판 상에 제1 절연막을 형성하는 단계;
    상기 제1 절연막 상에 배치되도록 제1 다결정 실리콘 층을 형성하는 단계;
    상기 제1 다결정 실리콘층에 배치되도록 제2 절연막을 형성하는 단계;
    상기 제2 절연막 상에 배치되도록 제2 다결정 실리콘 층을 형성하는 단계;및
    희가스와 더불어, 산소 또는 질소를 포함하는 처리 가스로부터 생성되고, 0.5~2 eV의 전자 온도를 가지는 플라즈마에 상기 기판을 노출시켜 상기 제1 및/또는 제2 다결정 실리콘층의 표면을 처리하는 단계를 포함하는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  28. 제27항에 있어서, 상기 기판은 단결정 실리콘을 주 성분으로 포함하는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  29. 제1항, 제9항, 제17항 및 제27항 중 어느 한 청구항에 있어서, 상기 플라즈마는 평면 안테나 부재를 사용하여 형성되는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  30. 제29항에 있어서, 상기 플라즈마는 복수 개의 슬롯을 가지는 평면 안테나 부재를 사용하여 발생하는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  31. 제1항, 제9항, 제17항 및 제27항 중 어느 한 청구항에 있어서, 상기 기판에 절연막을 형성하기 전에, DHF 용액으로 상기 기판을 세척하는 단계를 더 포함하는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  32. 제11항에 있어서, 상기 전자 디바이스는 플래시 메모리인 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  33. 제27항에 있어서, 상기 전자 디바이스는 플래시 메모리인 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
  34. 제11항에 있어서, 상기 제1 다결정 실리콘층 상의 제2 SiO2막은 CVD에 의해 형성되는 것인 전자 디바이스를 제조하기 위한 기판의 처리 방법.
KR1020057025305A 2001-01-25 2002-01-25 기판의 처리 방법 KR20060009395A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001017664 2001-01-25
JPJP-P-2001-00017664 2001-01-25

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020037009815A Division KR100582481B1 (ko) 2001-01-25 2002-01-25 전자 디바이스 재료의 제조 방법

Publications (1)

Publication Number Publication Date
KR20060009395A true KR20060009395A (ko) 2006-01-31

Family

ID=18883822

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020037009815A KR100582481B1 (ko) 2001-01-25 2002-01-25 전자 디바이스 재료의 제조 방법
KR1020057025306A KR100639147B1 (ko) 2001-01-25 2002-01-25 플라즈마 처리 방법
KR1020057025305A KR20060009395A (ko) 2001-01-25 2002-01-25 기판의 처리 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020037009815A KR100582481B1 (ko) 2001-01-25 2002-01-25 전자 디바이스 재료의 제조 방법
KR1020057025306A KR100639147B1 (ko) 2001-01-25 2002-01-25 플라즈마 처리 방법

Country Status (7)

Country Link
US (3) US6897149B2 (ko)
EP (1) EP1361606B1 (ko)
JP (2) JP4334225B2 (ko)
KR (3) KR100582481B1 (ko)
CN (3) CN100347832C (ko)
DE (1) DE60238389D1 (ko)
WO (1) WO2002059956A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8497196B2 (en) 2009-10-04 2013-07-30 Tokyo Electron Limited Semiconductor device, method for fabricating the same and apparatus for fabricating the same

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100582481B1 (ko) * 2001-01-25 2006-05-23 동경 엘렉트론 주식회사 전자 디바이스 재료의 제조 방법
TWI225668B (en) 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
AU2003231516A1 (en) * 2002-05-16 2003-12-02 Tokyo Electron Limited Method of treating substrate
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
JP4408653B2 (ja) 2003-05-30 2010-02-03 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
JP4358563B2 (ja) * 2003-07-02 2009-11-04 東京エレクトロン株式会社 半導体装置の低誘電率絶縁膜形成方法
KR100854809B1 (ko) * 2003-11-11 2008-08-27 도쿄엘렉트론가부시키가이샤 기판 처리 방법과, 프로그램을 기록한 기억 매체
US20050112281A1 (en) * 2003-11-21 2005-05-26 Rajaram Bhat Growth of dilute nitride compounds
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
WO2005098961A1 (ja) * 2004-04-09 2005-10-20 Tokyo Electron Limited ゲート絶縁膜の形成方法、記憶媒体、及びコンピュータプログラム
JP4280686B2 (ja) * 2004-06-30 2009-06-17 キヤノン株式会社 処理方法
KR101088233B1 (ko) 2004-08-13 2011-11-30 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법, 플라즈마 산화 처리 방법 및 플라즈마 처리 장치
KR20070023453A (ko) * 2005-08-24 2007-02-28 삼성전자주식회사 스토리지 노드의 특성을 개선할 수 있는 반도체 메모리소자의 제조 방법
JP2007088301A (ja) * 2005-09-22 2007-04-05 Toshiba Corp 半導体装置および半導体装置の製造方法
KR100670747B1 (ko) * 2005-11-28 2007-01-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조 방법
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
JP4921837B2 (ja) * 2006-04-14 2012-04-25 株式会社東芝 半導体装置の製造方法
JP5235333B2 (ja) * 2006-05-26 2013-07-10 株式会社半導体エネルギー研究所 半導体装置の作製方法
CN101454892B (zh) * 2006-05-26 2011-12-14 株式会社半导体能源研究所 半导体器件及其制造方法
KR101063083B1 (ko) * 2006-05-31 2011-09-07 도쿄엘렉트론가부시키가이샤 플라즈마 cvd 방법, 질화 규소막의 형성 방법, 반도체 장치의 제조 방법 및 플라즈마 cvd 장치
US8809936B2 (en) * 2006-07-31 2014-08-19 Globalfoundries Inc. Memory cell system with multiple nitride layers
US8143661B2 (en) * 2006-10-10 2012-03-27 Spansion Llc Memory cell system with charge trap
US20080142874A1 (en) * 2006-12-16 2008-06-19 Spansion Llc Integrated circuit system with implant oxide
JP5229711B2 (ja) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
US7994892B2 (en) * 2007-06-21 2011-08-09 Jpa Inc. Oxidative opening switch assembly and methods
JP5422854B2 (ja) * 2007-08-31 2014-02-19 国立大学法人東北大学 半導体装置の製造方法
TW201001543A (en) * 2008-02-08 2010-01-01 Tokyo Electron Ltd Method for modifying insulating film with plasma
KR101248651B1 (ko) 2008-02-08 2013-03-28 도쿄엘렉트론가부시키가이샤 절연막의 형성 방법, 컴퓨터 판독 가능한 기억 매체 및 처리 시스템
DE102008030677B4 (de) * 2008-04-17 2016-01-14 Von Ardenne Gmbh Verfahen und Vorrichtung zur Diffusionsbehandlung von Werkstücken
JP5166297B2 (ja) 2009-01-21 2013-03-21 東京エレクトロン株式会社 酸化珪素膜の形成方法、半導体メモリ装置の製造方法およびコンピュータ読み取り可能な記憶媒体
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20120266123A1 (en) * 2011-04-12 2012-10-18 Texas Instruments Incorporated Coherent analysis of asymmetric aging and statistical process variation in electronic circuits
JP5663384B2 (ja) * 2011-04-19 2015-02-04 三菱電機株式会社 絶縁膜の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5933394B2 (ja) * 2011-09-22 2016-06-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN104282614B (zh) * 2013-07-01 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离结构的方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
JP2022086144A (ja) * 2020-11-30 2022-06-09 東京エレクトロン株式会社 チャンバーコンディションの診断方法
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230115149A (ko) * 2022-01-26 2023-08-02 김남헌 웨이퍼 식각을 위한 플라즈마 챔버 및 플라즈마 챔버를 이용한 웨이퍼 식각 방법

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61288471A (ja) * 1985-06-17 1986-12-18 Matsushita Electronics Corp 半導体記憶装置の製造方法
US4863558A (en) * 1987-07-16 1989-09-05 Texas Instruments Incorporated Method for etching tungsten
US4822450A (en) * 1987-07-16 1989-04-18 Texas Instruments Incorporated Processing apparatus and method
US4988533A (en) * 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
DE69017271T2 (de) * 1989-06-15 1995-06-22 Semiconductor Energy Lab Gerät zur Bearbeitung mittels Mikrowellen in einem magnetischen Feld.
WO1995034916A1 (fr) * 1994-06-15 1995-12-21 Seiko Epson Corporation Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
JP2978746B2 (ja) * 1995-10-31 1999-11-15 日本電気株式会社 半導体装置の製造方法
EP0847079A3 (en) * 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
JPH11145131A (ja) * 1997-03-18 1999-05-28 Toshiba Corp 半導体装置の製造方法及び半導体製造装置、及び半導体装置
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
US6008091A (en) * 1998-01-27 1999-12-28 Lucent Technologies Inc. Floating gate avalanche injection MOS transistors with high K dielectric control gates
US6149987A (en) * 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
JP4069966B2 (ja) * 1998-04-10 2008-04-02 東京エレクトロン株式会社 シリコン酸化膜の成膜方法および装置
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
CN1133215C (zh) * 1998-06-24 2003-12-31 台湾积体电路制造股份有限公司 只读存储器及其制造方法
JP3837938B2 (ja) * 1998-09-28 2006-10-25 セイコーエプソン株式会社 薄膜半導体装置の製造方法
JP3837937B2 (ja) * 1998-09-28 2006-10-25 セイコーエプソン株式会社 薄膜半導体装置の製造方法
JP2000124214A (ja) * 1998-10-15 2000-04-28 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
JP2000208508A (ja) 1999-01-13 2000-07-28 Texas Instr Inc <Ti> 珪酸塩高誘電率材料の真空蒸着
JP4256520B2 (ja) * 1999-02-26 2009-04-22 忠弘 大見 レーザ発振装置、露光装置及びデバイスの製造方法
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
JP2000332245A (ja) * 1999-05-25 2000-11-30 Sony Corp 半導体装置の製造方法及びp形半導体素子の製造方法
JP2001015504A (ja) * 1999-06-30 2001-01-19 Toshiba Corp 半導体装置の製造方法
KR100746120B1 (ko) * 2001-01-22 2007-08-13 동경 엘렉트론 주식회사 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법
KR100582481B1 (ko) * 2001-01-25 2006-05-23 동경 엘렉트론 주식회사 전자 디바이스 재료의 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8497196B2 (en) 2009-10-04 2013-07-30 Tokyo Electron Limited Semiconductor device, method for fabricating the same and apparatus for fabricating the same

Also Published As

Publication number Publication date
CN1691290A (zh) 2005-11-02
JP4917142B2 (ja) 2012-04-18
CN1489784A (zh) 2004-04-14
EP1361606A1 (en) 2003-11-12
US7217659B2 (en) 2007-05-15
KR100582481B1 (ko) 2006-05-23
CN100347832C (zh) 2007-11-07
CN101752244A (zh) 2010-06-23
US20070218687A1 (en) 2007-09-20
EP1361606B1 (en) 2010-11-24
JP2010062576A (ja) 2010-03-18
CN101752244B (zh) 2012-02-08
EP1361606A4 (en) 2005-06-29
JP4334225B2 (ja) 2009-09-30
CN100585814C (zh) 2010-01-27
KR100639147B1 (ko) 2006-10-31
JPWO2002059956A1 (ja) 2004-05-27
KR20030091973A (ko) 2003-12-03
US6897149B2 (en) 2005-05-24
KR20060006109A (ko) 2006-01-18
US20040048452A1 (en) 2004-03-11
US20050176263A1 (en) 2005-08-11
WO2002059956A1 (fr) 2002-08-01
DE60238389D1 (de) 2011-01-05

Similar Documents

Publication Publication Date Title
KR100639147B1 (ko) 플라즈마 처리 방법
JP4429300B2 (ja) 電子デバイス材料の製造方法
US7446052B2 (en) Method for forming insulation film
KR100943246B1 (ko) 반도체 제조방법 및 반도체 제조장치
KR100746120B1 (ko) 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법
JP4850871B2 (ja) 絶縁膜の形成方法
US7759598B2 (en) Substrate treating method and production method for semiconductor device
TW200836262A (en) Method for forming insulating film and method for manufacturing semiconductor device
JP2007110144A (ja) 絶縁膜の形成方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20070517

Effective date: 20080118