CN1570204A - 膜形成方法、半导体器件和显示器件及其制造方法 - Google Patents

膜形成方法、半导体器件和显示器件及其制造方法 Download PDF

Info

Publication number
CN1570204A
CN1570204A CNA2004100329640A CN200410032964A CN1570204A CN 1570204 A CN1570204 A CN 1570204A CN A2004100329640 A CNA2004100329640 A CN A2004100329640A CN 200410032964 A CN200410032964 A CN 200410032964A CN 1570204 A CN1570204 A CN 1570204A
Authority
CN
China
Prior art keywords
gas
film
processing chamber
plasma processing
film formation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100329640A
Other languages
English (en)
Inventor
后藤真志
东和文
中田行彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Liguid Crystal Advanced Technology Development Center K K
Advanced LCD Technologies Development Center Co Ltd
Original Assignee
Liguid Crystal Advanced Technology Development Center K K
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Liguid Crystal Advanced Technology Development Center K K filed Critical Liguid Crystal Advanced Technology Development Center K K
Publication of CN1570204A publication Critical patent/CN1570204A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/1368Active matrix addressed cells in which the switching element is a three-electrode device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nonlinear Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Optics & Photonics (AREA)
  • Mathematical Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

公开了一种膜形成方法、半导体器件和显示器件及其制造方法,包括向等离子体处理室(11)内输送包括硅化合物气体、氧化气体和稀有气体的至少三种气体,以总压力为基础的稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%;和在等离子体处理室(11)内产生等离子体,从而在待处理衬底(1)上形成氧化硅膜。

Description

膜形成方法、半导体器件和显示器件及其制造方法
发明的背景
本发明涉及半导体器件如半导体集成电路器件或显示器件如液晶显示器件中使用的膜的形成方法。本发明还涉及半导体器件如薄膜晶体管(TFT)或金属氧化物半导体器件(MOS器件)的制造方法以及半导体器件。本发明进一步涉及显示器件如液晶显示器件、有机EL显示器件或无机EL显示器件的制造方法以及显示器件。
一般情况下,氧化硅膜用作半导体器件如薄膜晶体管(TFT)中的栅极绝缘膜。作为在不高于600℃的温度下形成氧化硅膜以便防止在衬底上产生负面效果的方法,等离子体CVD(等离子体增强化学汽相淀积)法在本领域中是公知的。
在等离子体CVD法中,氧化硅膜如下形成。在第一步中,甲硅烷气体与氧气混合,然后将该混合气体输入放置衬底的腔室中。在这个条件下,在该腔室中产生等离子体,以便实现甲硅烷气体和氧气的等离子体放电,由此在衬底上淀积氧化硅。
常规的等离子体CVD法存在的问题是:输送的氧原子不够,结果是形成大量缺乏氧的氧化硅膜。自然,克服这个问题是非常重要的。
而且,在专利文献例如日本专利公开(Kokai)No.11-279773中建议了一种等离子体CVD法,该方法使用由包括气体分子和具有相对于气体分子的适当激发态能级的稀有气体的两种气体构成的混合气体。
这里应该指出,在显示器件中使用的顶栅型TFT中,一般通过等离子体CVD法在被处理成岛形状并具有约50nm的厚度的半导体层上淀积氧化硅,从而形成厚度为80-100nm的栅极绝缘膜。
该显示器件的尺寸被放大了,并且该显示器件已经制成来执行很多功能。在这种情况下,TFT已经适用于新的显示器件,如有机EL显示器件。这种情况下,要求TFT的最小化,同时提高TFT的器件特性。为了使TFT最小化,要求栅极绝缘膜更薄。更具体地说,当TFT的沟道长度为1nm时,要求栅极绝缘膜的厚度减小到30nm。
当使用在形成为岛状的半导体层上形成栅极绝缘膜的顶栅型TFT时,必须以覆盖包括形成在半导体层上的阶梯部分的整个半导体层区域的方式形成栅极绝缘膜。因此在阶梯部分中通过栅极绝缘膜的电流泄漏趋于增加。还应该指出,如果栅极绝缘膜由薄到30nm的氧化硅膜制成,则泄漏电流的量将增加栅极绝缘性。
解决上述问题的一种方案是使用等离子体CVD膜的层叠结构,如下列文献1和2所述的。
根据上述文献1中所公开的技术,可以在低于有机金属气相生长法所需要的温度下形成膜,同时抑制对下层的损伤。此外,可以以高于原子层淀积法的膜形成速度形成该膜。然而,由文献1中公开的技术形成的氧化锆膜存在的问题是形成的膜中的氧缺乏非常严重。
文献1:M.Goto等人的“Surface Wave Plasma Oxidation at LowTemperature for Gate Insulator of Poly-Si TFTs”,2002年12月4-6日,[Proceedings of The Ninth International Display Workshops],第355页到第358页。
文献2:Reiji Morioka等人的“Formation of Zirconium Oxide Filmhaving High Dielectric Constant by Plasma CVD using OrganometallicMaterial as Precursor”,2003年1月29日举办并由应用物理学会的等离子体电子学分部(Plasma Electronics Branch of Applied PhysicsInstitute)(合作组织(incorporating body))主办的“20th PlasmaProcessing Research Meeting”的报告文集的第317-318页。
如上所述,如果栅极绝缘膜的厚度减小到约30nm,则难以获得足够的器件特性。换言之,限制了氧化硅膜的厚度的减小。这种情况下,介电常数高于氧化硅的金属氧化物如氧化铪和氧化锆作为栅极绝缘膜的材料已经引起人们的注意。换言之,在使用具有高介电常数的金属氧化物作为栅极绝缘膜材料的情况下,可以预期栅极绝缘膜的厚度可进一步减小,同时保持栅极绝缘膜的容量等于由氧化硅膜形成的栅极绝缘膜的容量。
作为超薄膜的淀积法,有机金属气相生长法(MOCVD法)、溅射法或原子层淀积法(原子层淀积:ALD)是本领域中公知的形成由金属氧化物如氧化铪或氧化锆构成的膜的方法。
在有机金属气相生长法中,通过使用加热到500℃-700℃的衬底分解用作原材料的有机金属化合物来生长膜,结果是难以在一般类型的玻璃衬底或塑料衬底上形成金属氧化物膜。
在采用溅射法的情况下,可以在相对低温下形成膜。然而,由于在采用溅射法的情况下以高速运动的粒子与衬底碰撞,容易损伤下层膜。因此由溅射法形成的金属氧化物膜具有高界面状态密度,此外,还包含明显的缺氧。顺便提及,为了弥补金属氧化物膜中的缺氧,必须在膜形成之后采用例如在高温下的等离子体处理或退火处理。因而形成金属氧化物膜所需制造工艺的数量增加了,这是不利的。
在原子层淀积法中,一次淀积一层原子层,因此膜形成速度很低。因而原子层淀积法不适合于形成TFT,因为TFT中所包含的栅极绝缘膜必须具有几十纳米的厚度。
作为形成金属氧化物膜的另一种方法,人们还提出了采用使用有机金属材料作为前体的等离子体CVD技术的膜形成法。该具体的膜形成法概括如下。
在第一步中,四丙氧基锆(Zr(OC3H7)4)与氧气和氩气混合。在该混合气中氧气与氩气的比值为1∶5。换言之,在混合气体的总压力基础上,氩气的分压(partial pressure)的百分比为80%。然后,将该混合气体引入其中设置衬底的腔室中。在这个条件下,在该腔室中产生等离子体,从而实现了四丙氧基锆和氧气的等离子体放电,由此在衬底上淀积氧化锆。
在前述文献1中公开的技术中,将由气体分子和相对于气体分子具有适当的激发能级的稀有气体构成的两种气体混合,以便允许稀有气体将气体分子分解成原子状态。换言之,在形成氧化硅膜的情况下,甲硅烷气体与氩气混合,从而产生原子硅,同时,氧气与氙气混合以便产生原子氧。因此,在文献1公开的技术中,为了形成氧化硅膜或金属氧化物膜需要至少两种等离子体产生装置。这种情况下,制造设备比较复杂,并且制造成本增加。此外,专利文献1公开的技术存在的问题是:不可能使用由有机硅化合物如四丙氧基硅(TEOS)构成的气体作为用于产生硅原子的气体分子。
此外,例如,上述文献2指出Kr稀释比和膜厚之间的关系是由于等离子体氧化以及微波输出和氧原子密度之间的关系造成的。然而,文献2简要提到了在低温下进行表面波等离子体氧化以形成TFT的栅极绝缘膜的技术,但没有指出例如本发明中所述的膜形成技术。
发明内容
本发明的目的是提供一种允许形成具有低缺氧的膜的膜形成方法、制造半导体器件的方法、半导体器件、制造显示器件的方法以及显示器件。
根据本发明的第一方案,提供一种膜形成方法,包括:
向等离子体处理室中输送包括硅化合物气体(或有机金属化合物气体)、氧化气体和稀有气体的至少三种气体,在所有气体的总压力基础上,稀有气体的分压的百分比不小于85%,即85%≤Pr<100%;和
在等离子体处理室中产生等离子体,从而在要处理的衬底上形成氧化硅(或金属氧化物)膜。
根据本发明的第二方案,提供一种膜形成方法,包括:
向等离子体处理室中输送包括硅化合物气体(或有机金属化合物气体)、氧化气体和氢气的至少三种气体,和
在等离子体处理室中产生等离子体,从而在要处理的衬底上形成氧化硅(或金属氧化物)膜。
根据本发明的第三方案,提供一种包括晶体管的半导体器件,该晶体管包含选自由上述膜形成方法形成的氧化硅膜和金属氧化物膜中的至少一种膜。该半导体器件可形成为显示器件,结果,可获得具有小漏电流的半导体器件。
附图简述
图1示意性地表示根据本发明第一到第三实施例的每一个的在膜形成方法中使用的等离子体CVD设备的结构;
图2示出了包含在混合气体中的Xe气的分压的百分比和MOS器件的平带(flat band)电压之间的关系;
图3示出了包含在混合气体中的Xe气的分压的百分比和混合气体中的电子密度之间的关系;
图4示出了包含在混合气体中的Xe气的分压的百分比和SiO2膜的形成速度之间的关系;
图5示出了包含在混合气体中的H2气的分压的百分比和MOS器件的平带(flat band)电压之间的关系;
图6示意性地示出了根据本发明第四到第七实施例的任一实施例的在膜形成方法中使用的没有磁场的微波等离子体CVD设备的结构;
图7示出了包含在混合气体中的Ar气的分压的百分比和混合气体中的电子密度之间的关系;
图8示出了通过由常规膜形成方法形成的HfO2膜、通过由根据本发明第四实施例的膜形成方法形成的HfO2膜以及通过由根据本发明第五实施例的膜形成方法形成的HfO2膜的电流泄漏;
图9示出了在由常规膜形成方法形成的Al2O3膜中、在由根据本发明第六实施例的膜形成方法形成的Al2O3膜中以及在由根据本发明第七实施例的膜形成方法形成的Al2O3膜中的碳原子浓度;
图10是表示包括TFT的液晶显示器件的结构的平面图;
图11是表示包括TFT的液晶显示器件的结构的剖面图;和
图12示出了在通过常规膜形成方法形成的SiO2膜中、在通过根据本发明第六实施例的膜形成方法形成的Al2O3膜中和一个叠层膜中的界面状态密度,该叠层膜是在由通过常规膜形成方法形成SiO2膜和在该SiO2上的通过根据本发明第六实施例的膜形成方法形成Al2O3膜而构成的。
发明详述
现在将详细说明本发明。
首先说明的是图1中所示的等离子体CVD设备(等离子体增强化学汽相淀积系统)10,它是用于执行膜形成工艺的化学汽相膜形成设备之一。图1中所示的等离子体CVD设备10例如是平行平板型等离子体CVD设备。如图1所示,设备10包括例如作为等离子体处理室的腔室11、和在腔室11中彼此面对设置的一对平行平板型电极12和13。一个高频供电电路,例如,用于给电极12施加500W和40MHz的高频电源(输出功率)的高频电源装置14经匹配器件15连接到电极(上电极)12。
其中安装要处理的衬底1的腔室11是由例如提供密封的内部区域的金属容器构成的真空室。气体入口管11a气密地进入腔室11的上部。气体入口管11a的末端连接到也用作上电极12的簇射电极。来自簇射电极的混合气体均匀地发射到待处理衬底的表面。排气部11b形成在腔室11的底部。通过簇射电极结构的电极12从气体入口部分11a向腔室11引入用作膜形成工艺气体的混合气体,如图1中的箭头A所示。例如使用涡轮分子泵的真空排气系统(未示出)连接到排气部分11b。通过使真空排气系统工作,对腔室11抽真空,直到腔室11内达到规定的真空度为止。
用于产生高频电源的高频电源装置14的输出端通过用于控制负载的匹配器件15连接到彼此面对设置的一对电极12和13之一上,其中所述高频电源是用于产生等离子体的。在附图所示例子中,高频电源装置14的输出端连接到电极12和13之一上,例如连接到上电极12,而另一电极13连接到地电位点。
用于支撑待处理衬底1的工作台设置在腔室11中。在图中所示的设备中,下电极13还用作支撑衬底1的工作台。在工作台(电极13)内设置用于给待处理衬底1加热的加热装置(未示出),如加热器或灯退火器(lamp anneal)。
构置等离子体CVD设备10,这样使得在腔室11被抽真空之后高频电源装置14工作,从而通过匹配器件15在电极12和13之间施加高频电源。在这个条件下,将工艺气体输送到腔室11中,以便在腔室11内产生等离子体。在需要的情况下,为气体入口管11a或腔室11的壁提供加热器。控制加热器的温度,使得在气体入口管11a或腔室11的壁上不形成膜。
现在介绍使用图1中所示设备的膜形成方法。
(第一实施例)
在第一步中,制备待处理衬底1。待处理衬底1包括例如用于制造半导体器件的硅衬底、用于形成液晶显示器件的显示电路的玻璃衬底、或者塑料衬底。在第一实施例中,待处理衬底例如由硅衬底形成。
在下一步中,制备由至少三种气体构成的混合气体,包括由具有硅(Si)原子的化合物形成的硅化合物气体、氧化气体和稀有气体。顺便提及,在将这些气体引入腔室1中的过程中,可以混合硅化合物气体、氧化气体和稀有气体,以便形成所希望的混合气体。
在本发明的第一实施例中,通过混合用作硅化合物气体或有机金属化合物气体的四乙氧基硅(Si(OCH2CH3)4:四正硅酸乙酯)气体,即TEOS气体、用作氧化气体的氧气(O2气体),和用作稀有气体的氙气(Xe气体),来制备混合气体。混合气体中TEOS气体与O2气体的混合比为1∶5。混合气体的总压力设定为100%,氙气的分压的百分比(稀释率)(Pr)设定为不小于85%,即85%≤Pr<100%。例如,上述百分比Pr设定为90%。
在下一步中,将待处理衬底1安置在图1中所示等离子体CVD设备10的腔室11中,然后通过运行真空排气系统,以在腔室11内建立达到规定真空度的基本真空条件。腔室11被抽真空以形成基本真空条件之后,通过气体入口部分11a将混合气体引入腔室11中,直到腔室11内的气体压力增加到60Pa为止。此外,用设置在例如下电极13中的加热装置将安装在腔室1中的待处理衬底1加热到300℃。然后,高频电源装置14开始工作,以便通过匹配器件15在电极12和13之间提供输出电压500W和频率40MHz的高频电源。结果是,在腔室11内产生等离子体。由于腔室11内的自由空间中有丰富的作为稀有气体的氙气,因此在腔室11内可保持高电子密度。因此,产生了高密度等离子体,从而允许用作氧化气体的氧气和用作硅化合物气体的TEOS气体被等离子体有效地分解。结果是,在待处理衬底1的一个表面上淀积氧化硅分子(SiO2),由此形成氧化硅膜(SiO2膜)。
由根据本发明第一实施例的膜形成方法形成的SiO2膜评估如下。
具体而言,制备多种不同混合气体,其中以混合气体的总压力为基础的氙气的分压百分比彼此不同,然后通过上述方法关于每种混合气体形成SiO2膜。然后,通过在如此形成的SiO2膜上汽相淀积形成铝电极,由此获得金属氧化物半导体器件(即MOS器件)。每个MOS器件的平带电压可通过测量每个MOS器件中包含的SiO2膜的电容-电压特性来确定。
图2是表示混合气体中所包含的氙气的分压百分比(Pr)和MOS器件的平带电压之间的关系的曲线。顺便提及,由于通常在SiO2膜中包含大量固定电荷,因此平带电压向负方向偏移。
如图2所示,在混合气体中包含的氙气的分压百分比(Pr)设定为低于85%,即0%≤Pr<85%的状态下形成SiO2膜的常规MOS器件中,平带电压基本保持恒定在约-2.3V。另一方面,发现在根据本发明第一实施例的MOS器件中,其中SiO2膜是在混合气体中包含的氙气的分压百分比(Pr)设定为不低于85%,即85%≤Pr<100%的状态下形成的,平带电压在约-2.0V和约-1.0V之间的范围内。换言之,发现根据本发明第一实施例的MOS器件的平带电压的绝对值小于常规MOS器件的平带电压绝对值。
过去,SiO2膜上的固定电荷密度必须很低。平带电压的小绝对值表示降低了该膜的固定电荷密度。换言之,已经发现,通过在混合气体中包含的氙气的分压百分比(Pr)设定为不小于85%,即85%≤Pr<100%的状态下形成SiO2膜,如本发明第一实施例所述,可以获得具有低固定电荷密度的SiO2
图3是表示包含于混合气体中的氙气的分压百分比(Pr)和混合气体中的电子密度之间的关系的曲线。
如图3所示,在混合气体中包含的氙气的分压百分比(Pr)低于85%,即0%≤Pr<85%的状态下,混合气体中的电子密度约为109cm-3。然而,如果混合气体中包含的氙气的分压百分比(Pr)设定为不小于85%,即85%≤Pr<100%,如本发明中所限定的,混合气体中的电子密度增加到约1010到1012cm-3,这是上一种情况下的几十到几百倍。
实验数据表明,在根据本发明第一实施例的膜形成方法中等离子体中的电子密度快速增加。应该理解的是,尽管在使用多原子分子的情况下由于离解反应而使等离子体中的电子损失能量,因为氙气由单原子分子形成,因此在根据本发明第一实施例的膜形成方法中等离子体中的电子没有能量损失。换句话说,应该理解的是,如果电子不因为离解反应而损失能量,则在输送的高频电源恒定的情况下等离子体中的电子密度增加。
因此,根据本发明的第一实施例,可以增加等离子体中的电子密度,从而促进由等离子体实现的TEOS气体和氧气的分解。结果,可以有效地形成硅原子和氧原子。还应该指出的是,如果有效地形成氧原子,则可以抑制二氧化硅膜中的缺氧,结果是可以减少通过形成的二氧化硅膜的电流泄漏。
(第二实施例)
在上述第一实施例中,腔室11内的气体压力设定为60Pa。结果,随着以混合气体的总压力为基础的稀有气体(氙气)的分压百分比增加,即随着稀有气体的稀释度增加,以混合气体的总压力为基础的TEOS气体的分压百分比降低。因而,可以根据以混合气体总压力为基础的稀有气体的分压百分比(Pr)而降低膜形成速度。由于制造时间是决定产品制造成本的因素之一,因此希望缩短膜形成时间。这种情况下,本发明的第二实施例涉及可以提高膜形成速度的膜形成方法。
在第一步中,混合气体由硅化合物气体、氧化气体和稀有气体构成,其中硅化合物气体由具有硅原子的化合物构成。在本发明的第二实施例中,制备同时用作硅化合物气体和有机金属化合物气体的TEOS气体、用作氧化气体的氧气和用作稀有气体的氙气。
在下一步中,将以1∶5的混合比混合的TEOS气体和氧气输送到腔室11中,这样这些气体的分压总和为10Pa,然后向腔室11中输送氙气,以便以腔室11内的总压力为基础的氙气的分压百分比(稀释率)(Pr)不小于85%,即85%≤Pr<100%。结果,在腔室11内形成混合气体。其它步骤与第一实施例的相同,因此省略了重复部分的说明。
图4是表示混合气体中包含的氙气的分压百分比与二氧化硅膜的形成速度之间的关系。
如图4所示,在混合气体中包含的氙气的分压百分比(Pr)低于90%,即0%≤Pr<90%的状态下,膜形成速度基本上保持在约20nm/min不变。另一方面,在混合气体中包含的氙气的分压百分比(Pr)设定为90%和98%之间的范围,即90%≤Pr<98%的情况下,膜形成速度从约20nm/min增加到约55nm/min。
而且在混合气体中包含的氙气的分压百分比(Pr)设定为95%和混合气体的总压力设定为200Pa的条件下,形成二氧化硅膜。然后,通过汽相淀积法在二氧化硅膜上形成铝电极,从而获得MOS器件。当测量MOS器件的平带电压时,发现与常规MOS器件相比平带电压的绝对值降低了。因此,如果在混合气体中包含的氙气的分压百分比(Pr)设定为95%和混合气体总压力设定为200Pa的条件下形成二氧化硅膜,则膜形成速度增加并且可以达到提高膜品质的效果。
固定电荷的密度可以很低,只要混合气体中包含TEOS气体和氧气,即使这些气体的量非常少。此外,可以形成具有低漏电流的二氧化硅膜。然而,如果混合气体被氙气稀释了,从而以混合气体总压力为基础的氙气的分压百分比(Pr)增加到超过98%,那么膜形成速度降低了。因此,为了增加膜形成速度和获得提高膜品质的满意效果,希望以混合气体总压力为基础的氙气的分压百分比(Pr)处于90%和98%之间的范围内,即90%≤Pr<98%。
如上所述,根据本发明第一和第二实施例的膜形成方法包括以下步骤:向等离子体处理室(腔室11)中输送至少三种气体,包括由具有硅原子的化合物形成的硅化合物气体(即在第一和第二实施例的每个中的TEOS气体,也是有机金属化合物气体)、氧化气体、和稀有气体,以所有气体的总压力为基础的稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%;和在等离子体处理室中产生等离子体,以便使得硅化合物气体和氧化气体被等离子体分解,从而在待处理衬底上形成由氧化硅构成的膜。因此,在根据本发明的第一和第二实施例的膜形成方法中,可以很容易地以低成本形成缺氧量很低的二氧化硅膜。
而且,在根据本发明的第一和第二实施例的膜形成方法中,可以获得固定电荷的密度和电流泄漏很低的二氧化硅膜。换言之,可以提高二氧化硅膜的特性。此外,可以在约300℃下形成二氧化硅,低于有机金属气相生长法中采用的温度。
此外,通过允许以总压力为基础的稀有气体的分压百分比(Pr)处于90%和98%之间的范围内,即90%≤Pr<98%,膜形成速度比常规方法的高。
顺便提及,在上述本发明的第一和第二实施例的每个中,氙气用作稀有气体。然而,也可以使用氪(Kr)气、氩(Ar)气、氖(Ne)气或氦(He)气作为稀有气体。然而,应该指出的是,如果电子密度很高,硅化合物气体(有机金属化合物气体)和氧化气体可以被等离子体有效地分解。因而希望稀有气体呈现高电子密度。在这种情况下,为了增加等离子体处理室(腔室11)内的电子密度,使用稀有气体的优先次序是氙气>氪气>氩气>氖气>氦气。
(第三实施例)
在第一步中,制备待处理衬底1。如前面第一实施例中所述的,可以使用例如用于形成半导体器件的硅衬底、用于形成液晶显示器件的显示电路的玻璃衬底或塑料衬底作为待处理衬底1。在下面所述的第三实施例中使用硅衬底。
在接下来的步骤中,制备由至少三种气体构成的混合气体,包括硅化合物气体、氧化气体和氢气(H2气体)。还可以在硅化合物气体、氧化气体和氢气被引入到腔室11中时,混合这些气体,以形成所希望的混合气体。
在本发明的第三实施例中,通过混合用作硅化合物气体和有机金属化合物气体的TEOS气体、用作氧化气体的氧气和氢气来制备混合气体。TEOS气体与氧气的混合比为1∶15。而且,以混合气总压力100%为基础的氢气的分压百分比(Ph)设定为不大于3%,即0%<Ph≤3%。
在本发明的第三实施例中,制备两种混合气体,其中在一种混合气体中,TEOS气体与氧气的混合比设定为1∶15,并且包含在混合气体中的氢气的分压百分比(Ph)设定为0.5%,而在另一种混合气体中,TEOS气体与氧气的混合比设定为1∶15,并且包含在混合气体中的氢气的分压百分比(Ph)设定为3%。
在下一步骤中,将待处理衬底1安装在图1中所示的等离子体CVD设备10的腔室11中。使用的等离子体CVD设备与前述第一实施例中使用的相同,因此省略了重复说明。
然后,真空排气系统开始工作,从而在腔室11内建立基本上达到所希望的真空度的基本真空条件。腔室11被抽真空以建立真空条件之后,将混合气体输送到腔室11中,直到腔室11内的气体压力增加到80Pa为止。然后用设置在下电极13内的加热装置将待处理衬底1加热到例如300℃。此外,高频电源装置14开始工作,通过匹配器件15在电极12和13之间提供高频电源,由此在腔室11内产生等离子体。TEOS气体在等离子体内分解,从而形成硅原子。而且,产生在氢气和氧气之间的反应,从而有效地形成氧原子。结果,二氧化硅分子淀积在待处理衬底1上,从而形成二氧化硅膜。
由根据本发明第三实施例的膜形成方法形成的二氧化硅膜的特性评估如下。
在第一步中,准备如下的混合气体条件1)-3)。
1)混合气体条件:在该条件下,如常规方法那样,TEOS气体与氧气以1∶15的混合比混合。
2)混合气体条件:在该条件下,如本发明第三实施例那样,以1∶15的混合比混合TEOS气体和氧气,并且混合气体中包含的氢气的分压百分比(Ph)设定为0.5%。
3)混合气体条件:在该条件下,如本发明第三实施例那样,以1∶15的混合比混合TEOS气体和氧气,并且混合气体中包含的氢气的分压百分比(Ph)设定为3%。
在每个条件1)-3)下如上所述形成二氧化硅膜,然后在如此形成的二氧化硅膜上利用汽相淀积法形成铝电极,以便获得MOS器件。然后,通过测量每个MOS器件中包含的二氧化硅膜的电容-电压特性来确定每个MOS器件的平带电压。
图5示出了混合气体中包含的氢气的分压百分比(Ph)和MOS器件的平带电压之间的关系。
如图5所示,在上述混合气体条件1)下形成有二氧化硅膜的常规MOS器件中,平带电压为约-2.0V。另一方面,发现在上述混合气体条件2)下形成有二氧化硅膜的MOS器件中,平带电压为约-1.8V。此外,还发现在上述混合气体条件3)下形成有二氧化硅膜的MOS器件中,平带电压为约-1.4V。换言之,发现根据本发明第三实施例的MOS器件中的平带电压的绝对值比常规MOS器件中的平带电压的绝对值小。换句话说,发现通过向混合气体中添加氢气可形成具有低固定电荷密度的二氧化硅膜。
应该理解,由于氢气能与氧气反应,因此可以有效地形成氧原子,从而形成具有低固定电荷密度的二氧化硅膜。应该指出的是,在根据本发明第三实施例的膜形成方法中,可有效地形成氧原子,以便抑制二氧化硅膜中的缺氧,结果是可以抑制通过二氧化硅膜泄漏电流。
顺便提及,氧气和氢气一起存在于混合气体中。因此,如果混合气体中包含的氢气的分压百分比(Ph)增加到4%或以上,则氢气可能与氧气发生爆炸反应。因此,如果氢气的分压百分比(Ph)设定为4%或以上,则在膜形成工艺中和膜形成设备中需要小心注意。当然,不希望氢气的分压百分比(Ph)设定为4%或以上。如果混合气体中包含氢气,则可以获得上述效果。因此,鉴于安全和制造成本,希望混合气体中包含的氢气的分压百分比(Ph)设定为小于3%,即0%≤Ph<3%。
如上所述,根据本发明第三实施例的膜形成方法包括以下步骤:向等离子体处理室(腔室11)中输送至少三种气体,包括由具有硅原子的化合物形成的硅化合物气体(即TEOS气体,也构成有机金属化合物气体)、氧化气体和氢气;以及在等离子体处理室内产生等离子体,以便允许硅化合物气体、氧化气体和氢气被等离子体分解,由此在待处理衬底上形成二氧化硅膜。因此,根据本发明第三实施例的膜形成方法可以很容易地以低成本形成缺氧很低的二氧化硅膜。
而且,在根据本发明第一和第二实施例的每个的膜形成方法中,可以获得固定电荷密度很低和漏电流很小的二氧化硅膜。换言之,可以提高二氧化硅膜的特性。此外,可以在低于有机金属气相生长法的约300℃下形成二氧化硅膜。
顺便提及,也作为有机金属化合物气体的TEOS气体用作具有硅原子的硅化合物的气体。然而,还可以使用四甲基环四硅氧烷、二乙酰氧基二叔丁氧基硅烷和六甲基二硅氧烷中的任何气体作为硅化合物气体。还可以将下面任何一种气体用做硅化合物气体,SiH4气体、Si2H6气体、SiF4气体、SiCl4气体、SiH2Cl2气体、和含有至少两种这些含硅化合物的气体的混合气体。
而且,在上述本发明的第一到第三实施例的每个中,氧气用作氧化气体。然而,作为氧化气体,也可以使用O3(臭氧)气体、N2O(一氧化二氮)气体、NO(一氧化氮)气体、CO(一氧化碳)气体和CO2(二氧化碳)气体中的至少一种。在这些氧化气体中,希望使用O3气体,因为与其它氧化气体相比O3气体容易分解并具有高反应性。硅化合物气体优选是SiH4气体,氧化气体优选是O3气体和O2气体中的至少一种。
应该指出的是,如果具有氮(N)原子的化合物气体如N2O气体或NO气体用作氧化气体,氮原子回停留在界面上。因此,在淀积二氧化硅分子的情况下增加了界面状态密度,这对于半导体器件来说是不希望的。具体的突出的趋势是增加等离子体中的电子密度。换言之,特殊的趋势在结合本发明的第一到第三实施例所述的膜形成方法中更显著。
另一方面,希望使用具有碳原子的化合物气体如CO气体和CO2气体作为氧化气体。应该理解,由于TEOS气体本身具有碳原子,即使具有碳原子的气体如CO气体或CO2气体用作氧化气体,形成的二氧化硅膜的杂质也不受影响。
而且,在使用TEOS气体作为具有硅原子的化合物气体的情况下,可以形成其覆盖性质满意的二氧化硅膜。因此,在像图10所示液晶显示器件中包含的薄膜晶体管(TFT)的表面一样具有不规则性的选择区域中必须形成二氧化硅膜的情况下,希望使用TEOS气体。换句话说,通过使用TEOS气体作为具有硅原子的化合物的硅化合物气体,来形成二氧化硅膜的栅极绝缘膜,可以获得具有满意的绝缘性能的栅极绝缘膜的TFT。
这种情况下,为了形成其覆盖性能满意的二氧化硅膜,希望使用TEOS气体作为硅化合物气体。而且,在TEOS气体用作硅化合物气体的情况下,希望使用选自氧气、O3气体、CO气体和二氧化碳气体中的至少一种气体作为氧化气体。
另一方面,在无机化合物的气体如SiH4气体或Si2H6气体用作硅化合物气体的情况下,对于包含在用作氧化气体的CO气体或二氧化碳气体中的碳原子有可能在形成二氧化硅膜的工艺中构成杂质。因此,在使用硅烷气体作为硅化合物气体的情况下,希望使用选自O2气体和O3气体中的至少一种作为氧化气体。在这种情况下,可以形成具有高纯度的二氧化硅膜。
应该指出的是由本发明的第一到第三实施例产生的效果不受等离子体的激励频率和离子体源的影响。
为了获得具有更高密度的等离子体,希望使用具有高激励频率例如2.45GHz或以上的微波。使用例如表面波等离子体作为使用微波的等离子体源是可行的,其中该表面波等离子体是没有磁场的微波等离子体源。
(第四实施例)
下面参照图6介绍在本发明第四实施例中使用的无磁场的微波等离子体CVD设备50。
无磁场的微波等离子体CVD设备50包括用作等离子体处理室的真空室51、微波源52、波导53、多个狭槽54、绝缘部件55、气体入口56、排气口57和衬底台58。
衬底台58设置在真空室51内。门(未示出)设置在真空室51内。门执行待处理衬底1的转移功能,例如将其上形成液晶显示器件的显示电路如晶体管的玻璃衬底移进或移出真空室51。真空室51具有例如70cm×60cm的有效处理面积。微波源52产生具有例如2.45GHz的频率的微波。波导53制成例如矩形波导,具有例如9cm的宽度和例如3cm的高度。
多个狭槽54形成在波导53的侧壁53a中,该侧壁设置成面对真空室51的上壁。绝缘部件55提供窗口,该窗口具有足够大的厚度以承受在真空室51内形成的真空条件并由能传播微波的材料形成。例如,绝缘部件55由石英、玻璃或陶瓷材料形成。气体入口56通过管道连接到装有原材料气体的容器上,从而以规定流率(flow rate)和规定流速将原材料气体输送到真空室51内。此外,排气口57由管道形成,用于从真空室51内向外部释放处理之后的气体。
首先真空室51被抽真空到规定真空度。然后,以规定流率和规定流速通过气体入口56向真空室51内输送含有原材料气体的混合气体。从包含在微波源52中的振荡器振荡的微波通过波导53传播,以便通过形成波导天线的狭槽54和绝缘体部件55辐射到真空室51内。在图6所示的设备50中,通过从狭槽54向真空室51辐射的微波产生等离子体,以便进行膜形成操作。
现在参照图6中所示的等离子体CVD设备50介绍根据本发明第四实施例的膜形成方法。
在第一步中,制备待处理衬底1。可以使用用于形成半导体器件如晶体管的硅衬底、用于形成液晶显示器件的显示电路的玻璃衬底和塑料衬底中的任何一种作为待处理衬底1。在本发明的第四实施例中,硅衬底用作待处理衬底1。
在下一步中,制备包括有机金属化合物气体、氧化气体和稀有气体的混合气体。顺便提及,还可以在将这些气体引入到真空室51的过程中混合有机金属化合物体、氧化气体和稀有气体,以便制备所希望的混合气体。
在本发明的第四实施例中,混合用作有机金属化合物气体的三丙氧基铪(Hf(OC3H7)3)气体、用作氧化气体的氧气和用作稀有气体的氩气以制备混合气体。混合气体中含有的氩气的分压百分比(稀释率)(Pr)不小于85%,即85%≤Pr<100%。例如,上述百分比Pr设定为90%。更具体地说,在本发明的第四实施例中,三丙氧基铪气体∶氧气∶氩气的混合比设定为2%∶8%∶90%。
在下一步中,将待处理衬底1安装在等离子体CVD设备50中的真空室51内,然后操作真空排气系统,以便对真空室51抽真空,从而在真空室51内建立基本上等于的真空的条件。此外,对真空室51进行真空排气处理之后,将混合气体输送到真空室51内,直到真空室51内的气体压力增加到80Pa为止。然后,高频电源装置(未示出)开始工作,以便利用具有1000W输出电压和2.45G频率的微波在真空室51内产生表面波等离子体,这是一种无磁场的微波等离子体源。由于真空室51内的自由空间的氩气是丰富的,因此在真空室51内保持高电子密度,结果是以高密度产生表面波等离子体。因此,氧气和三丙氧基铪气体可以被等离子体有效地分解。结果是,氧化铪(HfO2)分子淀积在待处理衬底1的一个表面上,以便形成具有高介电常数的氧化铪膜(HfO2膜)。
图7是表示含在混合气体中的氩气的分压百分比(Pr)和电子密度之间的关系的曲线图。
如图7所示,在混合气体中含有的氩气的分压百分比(Pr)小于85%,即0%≤Pr<85%的情况下,混合气体内的电子密度约为109cm-3。另一方面,混合气体中含有的氩气的分压百分比(Pr)不小于85%,即85%≤Pr<100%的情况下,混合气体内的电子密度约为1010到1012cm-3,这是上述百分比Pr小于85%的情况的几十到几百倍。
上面给定的实验数据表明,在根据本发明的第四实施例的膜形成方法中可以急剧增加等离子体内的电子密度。应该理解,由于与氙气一样,氩气由单原子分子形成,因此根据本发明第四实施例的膜形成方法中等离子体中的电子没有损失能量,尽管在使用多原子分子的情况下等离子体的电子由于离解反应而损失它们的能量。换句话说,应该理解,如果电子不因离解反应而损失它们的能量,则在输送的高频电源不变的情况下等离子体中的电子密度增加。
(第五实施例)
在本发明第五实施例中使用图1中所示的CVD设备。在第一步中,制备待处理衬底1。可以使用例如硅衬底、玻璃衬底或塑料衬底作为待处理衬底1。在第五实施例中,待处理衬底1例如由硅形成。
在下一步中,制备包含有机金属化合物气体、氧化气体和氢气的混合气体。在本发明的第五实施例中,混合气体是通过混合用作有机金属化合物气体的三丙氧基铪气体、用作氧化气体的氧气和氢气而制备的。对于混合气体中含有的氢气的分压百分比(稀释率)(Ph),希望设定为小于3%,即0%≤Ph<3%。如果混合气体中含有的氢气的分压百分比(Ph)增加到4%或以上,如前面结合本发明第三实施例所述的,氢气可能与氧气发生爆炸反应。更具体地说,在本发明的第五实施例中,三丙氧基铪气体∶氧气∶氢气的混合比设定为20%∶78%∶2%。
在下一步中,将待处理衬底1安装在等离子体CVD设备10中包含的真空室11中,然后操作真空排气系统,从而在真空室11内建立基本上等于真空的条件。此外,在对真空室11排气,以便建立真空条件后,将混合气体输送到真空室11内,直到真空室11内的气体压力增加到80Pa为止。然后,高频电源装置14开始工作,以便利用具有1000W和2.45G的微波在真空室11内产生表面波等离子体,这是一种无磁场的微波等离子体源。在表面波等离子体内,三丙氧基铪气体分解,以便产生Hf原子。而且,在表面波等离子体内在氢气和氧气之间进行反应,以便有效地形成氧原子。结果,HfO2分子淀积在待处理衬底1上,从而形成具有高介电常数的HfO2膜。
由根据本发明第四和第五实施例二者中的每一个的膜形成方法形成的HfO2膜的特性评估如下。
具体而言,根据本发明第四实施例的膜形成方法和根据本发明第五实施例的膜形成方法,通过常规膜形成方法在硅衬底上形成HfO2膜,其中在上述常规方法中使用通过以20%∶80%的混合比混合三丙氧基铪气体和氧气而制备的混合气体。然后,测量这些HfO2膜的每个的电流-电压特性。
图8示出了当给通过常规膜形成方法形成的HfO2膜、通过根据本发明第四实施例的膜形成方法形成的HfO2膜和通过根据本发明第五实施例的膜形成方法形成的HfO2膜的每个施加2MV/cm的电场时的漏电流。
如图8所示,在通过常规膜形成方法形成的HfO2膜的情况下,漏电流约为10-11(A)。另一方面,在通过根据本发明第四和第五实施例的膜形成方法形成的HfO2膜的情况下,漏电流为约10-12(A)。因此,与常规膜形成方法相比,根据本发明第四和第五实施例的膜形成方法允许降低通过金属氧化膜的漏电流。
应该理解,产生的本发明的特殊效果如下。具体而言,在根据本发明第四实施例的膜形成方法中,增加了等离子体密度以便允许三丙氧基铪气体和氧气被等离子体有效地分解。结果是,形成的HfO2膜被致密化,从而减少了缺氧的程度。
而且,在根据本发明第五实施例的膜形成方法中,在等离子体内在氧气和氢气之间产生反应,以便产生氧原子和氢原子。结果,可以减少得到的HfO2膜中的缺氧的量,从而使氧缺陷被氢终止。
而且,在根据本发明第四实施例的膜形成方法中,形成的混合气体是通过混合有机金属氧化合物气体、氧化气体和稀有气体而制备的,使得以混合气体总压力为基础的稀有气体的分压百分比(Pr)设定为不小于85%,即85%≤Pr<100%。结果,在第四实施例中抑制了膜中的碳原子浓度,这与后面要说明的本发明第六实施例相同。
此外,在根据本发明第五实施例的膜形成方法中,通过使用由混合有机金属化合物气体、氧化气体和氢气而制备的混合气体来形成膜。结果是,在第五实施例中可以抑制膜中的碳原子浓度,这与后面要说明的本发明第七实施例相同。
根据本发明第四和第五实施例的每一个,可以很容易地以低成本形成缺氧量小的金属氧化物膜。还可以减少通过金属氧化物膜的漏电流和抑制膜中的碳原子浓度,从而提高膜的特性。
(第六实施例)
在本发明第六实施例中,通过混合用作有机金属化合物气体的三甲基铝气体(TMA气体)、用作氧化气体的氧气和用作稀有气体的Kr气体而制备混合气体。而且,混合气体中包含的Kr气体的分压百分比(稀释率)(Pr)设定为不小于85%,即85%≤Pr<100%。例如,上述百分比Pr设定为98%。更具体地说,TMA气体、氧气和Kr气体的混合比设定为0.5%∶1.5%∶98%。顺便提及,在其它步骤上第六实施例与第四实施例相同,因此,省略了重复说明。通过这种方式,在本发明第六实施例中形成了Al2O3膜。
(第七实施例)
在本发明第七实施例中,通过混合用作有机金属化合物气体的TMA气体、用作氧化气体的氧气和氢气而制备混合气体。更具体地说,TMA气体、氧气和氢气的混合比设定为10%∶89%∶1%。顺便提及,在其它步骤上第七实施例与第五实施例相同,因此,省略了重复说明。通过这种方式,在本发明第七实施例中形成了Al2O3膜。
通过本发明第六和第七实施例的每一个的膜形成方法形成的Al2O3膜的特性评估如下。
具体而言,利用常规膜形成方法在硅衬底上形成厚度为200nm的Al2O3膜,其中,根据本发明第六实施例的膜形成方法和根据本发明第七实施例的膜形成方法,使用通过以10%∶90%的混合比混合TMA气体和氧气而制备的混合气体。然后,用SIMS(二次离子质谱仪)测量这些Al2O3膜的每一个中的碳浓度。顺便提及,等离子体的压力为80Pa和功率为1000W。
图9是表示常规膜形成方法形成的Al2O3膜、通过根据本发明第六实施例的膜形成方法形成的Al2O3膜和通过根据本发明第七实施例的膜形成方法形成的Al2O3膜的每一个中的碳原子浓度图。
如图9所示,在通过常规膜形成方法形成的Al2O3膜中,碳原子浓度约为1021原子/cm3。另一方面,在通过根据本发明第六或第七实施例的膜形成方法形成的Al2O3膜中,碳原子浓度约1019原子/cm3。因此,与常规膜形成方法相比,根据本发明第六和第七实施例的膜形成方法可以降低碳原子浓度。
由根据本发明第六实施例的膜形成方法实现的低碳原子浓度是源于以下情况:等离子体密度因稀有气体(Kr气体)而增加,从而提高了形成氧原子的效率。换言之,如果氧原子的量增加了,则通过与碳燃烧反应形成的CO和CO2的量增加了。应该理解,由于挥发性高的CO和CO2趋于排放掉而不会留在膜中,因此降低了膜中的碳原子浓度。
而且,在根据本发明第七实施例的膜形成方法中,应该理解的是,通过在等离子体内进行的O2和H2之间的反应产生大量氧原子,从而降低了膜中的碳原子浓度。如果产生大量氧原子,通过与碳燃烧反应形成的CO和CO2的量增加了,如上述第六实施例那样。应该理解的是,由于挥发性高的CO和CO2趋于释放掉而不会留在膜中,因此降低了膜中的碳原子浓度。
而且,在根据本发明第六实施例的膜形成方法中,通过混合有机金属化合物气体、氧化气体和稀有气体而制备混合气体,使得稀有气体的分压百分比(Pr)设定为不小于85%,即85%≤Pr<100%。因此,根据本发明第六实施例的膜形成方法,如本发明第四实施例那样,可以减少通过金属氧化物膜的漏电流。
此外,在根据本发明第七实施例的膜形成方法中,混合气体是通过混合有机金属化合物气体、氧化气体和氢气而制备的。因此,在根据本发明第七实施例的膜形成方法中,如本发明第五实施例那样,可以减少通过金属氧化物膜的电流泄漏。
根据本发明第六和第七实施例的每一个,可以很容易地以低成本形成缺氧量低的金属氧化物膜。而且,根据本发明第六和第七实施例的每一个,可以减少通过金属氧化物膜的电流泄漏和抑制膜中的碳原子浓度,由此提高了膜的特性。
如上所述,根据本发明第四和第六实施例的每一个的膜形成方法包括以下步骤:向等离子体处理室(腔室11)中输送包括有机金属化合物气体、氧化气体和稀有气体的至少三种气体,使得以总压力为基础的稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%;和在等离子体处理室中产生等离子体,以便允许有机金属化合物气体和氧化气体被等离子体分解,由此在待处理衬底1上形成金属氧化物膜。因此,在根据本发明第四和第六实施例的每一个的膜形成方法中,可以很容易地以低成本形成具有高介电常数和低缺氧量的金属氧化物膜。
而且,在根据本发明第四和第六实施例的每个的膜形成方法中,可以减少通过金属氧化物膜的电流泄漏和抑制膜中的碳原子浓度,从而提高了金属氧化物膜的特性。此外,可以在低于有机金属气相生长法中采用的温度下形成金属氧化物膜。
根据本发明第五和第七实施例的每个的膜形成方法包括,向等离子体处理室(腔室11)中输送包括有机金属化合物气体、氧化气体和氢气的至少三种气体的步骤,和在等离子体处理室中产生等离子体的步骤,从而允许有机金属氧化物气体、氧化气体和氢气被等离子体分解,由此在待处理衬底1上形成金属氧化物膜。因此,在根据本发明第五和第七实施例的每一个的膜形成方法中,可以很容易地以低成本形成具有高介电常数和低缺氧的金属氧化物膜。
而且,在根据本发明第五和第七实施例的每一个的膜形成方法中,可以减小通过金属氧化物膜的电流泄漏和抑制膜中的碳原子浓度,以便提高金属氧化物膜的特性。此外,可以在比有机金属气相生长法中采用的温度低的温度下形成金属氧化物膜。
顺便提及,在根据本发明的第四和第五实施例的每一个的膜形成方法中,三丙氧基铪气体用作有机金属化合物气体。而且,在根据本发明第六和第七实施例的每一个的膜形成方法中,TMA(Al(CH3)3)气体用作有机金属化合物气体。然而,本发明中使用的有机金属化合物不限于上述例举的气体。也可以使用有机金属化合物气体如三乙基铝(Al(C2H5)3)气体、三丙氧基锆(Zr(OC3H7)3)气体、五乙氧基钽(Ta(OC2H5)5)气体等。选择含有金属的有机金属化合物气体,足以为要形成的金属氧化物膜提供原材料。具体地说,在使用三丙氧基铪气体作为有机金属化合物气体的情况下可以形成HfO2膜。而且,在使用三甲基铝气体或三甲基铝气体作为有机金属化合物气体的情况下可以形成氧化铝(Al2O3)。此外,在使用三丙氧基锆气体作为有机金属化合物气体的情况下可以形成氧化锆(ZrO2)膜。此外,在使用五乙氧基钽气体作为有机金属化合物气体的情况下可以形成氧化钽(Ta2O5)膜。
此外,在根据本发明第四到第七实施例的每一个中,在等离子体处理室(腔室11)中产生表面波等离子体,结果,有机金属化合物气体等被高密度等离子体之中的等离子体分解,这不会对得到的金属氧化物膜造成损伤。
顺便提及,在上述第一到第七实施例的每个中,硅衬底用作待处理衬底1。然而,作为待处理衬底1,还可以使用其上形成有绝缘膜、金属膜和半导体膜的任一种硅衬底、玻璃衬底或塑料衬底,或者其上形成有包括绝缘膜、金属膜或半导体膜的叠层结构的衬底。
现在介绍包括TFT的显示器件的制造方法。图10和11的每一个示出了显示器件20如有源矩阵型液晶显示器件的结构。在下面的说明中将显示器件20称为液晶显示器件。顺便提及,图11中所示的参考标记30表示TFT。
现在将首先介绍液晶显示器件20。如图10和11所示,液晶显示器件20包括一对透明衬底21和22、在透明衬底21和22之间在由密封材料包围的区域中形成的液晶层23、在透明衬底22上以形成矩阵的方式在行方向和列方向设置的多个像素电极24、与像素电极24面对设置的单膜形式的透明对电极27、设置成矩阵形式且各包括由下述的膜形成方法形成的栅极绝缘膜36的多个TFT 30、以及与这些TFT 30电连接的扫描线25和信号线26。换言之,构成液晶显示器件20,以使得用作像素选择元件的晶体管例如TFT 30设置成矩阵形式。
可以使用例如一对玻璃衬底作为一对透明衬底21和22。用置于其间的框架形密封材料(未示出)将这些透明衬底21和22彼此连接。液晶层23设置在一对透明衬底21和22之间被密封材料包围的区域中。
多个像素电极24、多个TFT 30、扫描线25和信号线26设置在例如在背面的透明衬底22的内表面上。像素电极24设置在行方向和列方向,以便形成矩阵,TFT 30分别电连接到多个像素电极24。此外,扫描线25和信号线26电连接到多个TFT 30的每一个上。
扫描线25可以在像素电极24的列方向延伸,并分别在一侧的端部连接到多个扫描线端子(未示出),其中所述扫描线端子形成在背面的透明衬底22的一侧上的边缘部分中。而且,多个扫描线端子分别连接到扫描线驱动电路41上。
另一方面,信号线26在像素电极24的行方向延伸,并在一侧的端部分别连接到多个信号线端子(未示出)上,其中所述信号线端子形成在背面的透明衬底22的一侧的边缘部分中。而且,多个扫描线端子分别连接到信号线驱动电路42。
每个扫描线驱动电路41和信号线驱动电路42连接到液晶控制器43。通过接收从例如外部输送的图像信号和同步信号,液晶控制器43产生图像视频信号Vpix、垂直扫描控制信号YCR和水平扫描控制信号XCT。
设置成与多个像素电极24面对的单膜形式的透明对电极27形成在另一透明衬底即正面的透明衬底21的内表面上。而且,可以以对应于多个像素部分的方式,在正面的透明衬底21的内表面上设置滤色器,其中多个像素电极24设置成面对对电极27。此外,可以以对应像素部分之间的区域的方式形成光屏蔽膜。
在一对透明衬底21和22的外部形成偏振板(未示出)。而且,在透射型液晶显示器件20中,平面光源(未示出)形成在背面的透明衬底22的背面一侧上。顺便提及,液晶显示器件20可以是反射型或半透射反射型的。
现在介绍TFT 30即半导体器件的结构。图11中所示的参考标记31表示由二氧化硅构成并形成在透明衬底22上的缓冲层。包括源区33、漏区34和沟道区35的半导体层32形成在缓冲层31上。由Al构成的栅电极37形成在半导体层32上,其中栅极绝缘膜36置于其间。此外,由二氧化硅构成的层间绝缘膜38形成在包括栅电极37的透明衬底22的整个表面上。
如图11所示,TFT 30包括:透明衬底22;形成在透明衬底22上的缓冲层31;包括源区33、漏区34和沟道区35的半导体层32;通过下述膜形成方法在半导体层32上形成的栅极绝缘膜36,该栅极绝缘膜36包括氧化硅层36a和氧化铝层36b;和形成在栅极绝缘膜36上的栅电极37。
现在介绍TFT 30的制造方法。顺便提及,在下述的制造方法中,可以在背面的透明衬底22上同时形成多个TFT 30。
在第一步中,基本上在背面的透明衬底22的内表面的整个区域上形成作为缓冲层31的二氧化硅膜。然后,例如利用减压CVD法在缓冲层31上形成厚度为100nm的非晶硅(a-Si)膜,然后在氮气中、在450℃进行脱氢处理一个小时。此外,通过用准分子激光器给a-Si膜施加激光退火,使a-Si膜结晶,从而形成多晶硅层。
在下一步骤中,利用旋涂法用由光敏树脂形成的抗蚀剂膜涂覆该多晶硅层,然后通过光刻工艺对抗蚀剂膜进行曝光和显影,从而形成各具有规定岛状的多个半导体层32。形成这些半导体超32的每一个以对应TFT 30,以便提供相应TFT 30的构成元素。在下一步骤中,形成栅极绝缘膜36以覆盖岛状半导体层32。形成栅极绝缘膜36的方法将在后面介绍。
形成栅极绝缘膜36之后,例如利用溅射法在栅极绝缘膜36上形成将要被处理成多个栅电极37的金属膜,例如Al膜,然后给该金属膜施加光刻工艺和刻蚀工艺,从而将该金属膜处理成布线形状,由此形成多个栅电极37。每个栅电极37形成在半导体层32的上方,并对应单个半导体层32。换言之,与半导体层32一样,每个栅电极37形成为对应单个TFT 30,由此提供相应TFT 30的构成因素。顺便提及,还可以用与栅电极37一起形成一个整体结构的方式形成扫描线25。
在接下来的步骤中,通过例如离子注入法用杂质如磷(P)选择地掺杂半导体器件32。结果,形成具有低电阻率的半导体层,且形成为源区33和漏区34以及未引入杂质的沟道区35。
在接下来的步骤中,通过等离子体CVD法在透明衬底22的整个表面上淀积二氧化硅膜,然后在600℃下对该二氧化硅膜进行热处理,从而形成层间绝缘膜38。形成层间绝缘膜38之后,通过光刻法和刻蚀法在对应源区33、漏区34和栅电极37的层间绝缘膜38的那些部分中形成接触孔44。此外,形成将形成为源电极的金属膜,以便连接到源区33。还形成将形成为漏电极的另一金属膜,以便连接到漏区34。结果,形成多个TFT 30。形成TFT 30之后,形成连接到源电极的像素电极24。同时,形成电连接到漏电极的信号电极。
栅极绝缘膜36是由前述根据本发明实施例的膜形成方法形成的。因此,本发明的膜形成方法应用于包括具有形成在其上的缓冲层31和岛状半导体层32的透明衬底22的待处理衬底2。
现在介绍形成栅极绝缘膜36的方法。在第一步中,利用常规膜形成方法,即使用由TEOS气体和氧气的等离子体CVD法,或低温氧化法,即等离子体氧化法或光学氧化法,在待处理衬底2的基本上整个表面上形成厚度不小于2nm,例如2nm厚的二氧化硅膜。顺便提及,二氧化硅膜可以采用根据本发明第一到第三实施例的任何膜形成方法来形成。然后,采用根据本发明第六实施例的膜形成方法在二氧化硅膜的基本上整个表面上形成Al2O3膜。顺便提及,还可以采用根据本发明第七实施例的膜形成方法形成Al2O3膜。换言之,栅极绝缘膜36是由二氧化硅膜36a和Al2O3膜36b构成的叠层结构。应该指出的是,栅极绝缘膜36即由二氧化硅膜36a和Al2O3膜36b构成的叠层结构,具有大于常规栅极绝缘膜(二氧化硅膜)的介电常数。
由二氧化硅膜36a和Al2O3膜36b构成的叠层结构形成的栅极绝缘膜36的特性评估如下。在第一步中,制备下面给定的MOS器件1)至3)。
1)利用等离子体氧化法在衬底上形成二氧化硅膜,然后借助汽相淀积法在二氧化硅膜上形成铝电极,由此制备MOS器件。
2)利用根据本发明第六实施例的膜形成方法在衬底上形成Al2O3膜,然后借助汽相淀积法在Al2O3膜上形成铝电极,由此制备MOS器件。
3)利用常规膜形成方法在衬底上形成二氧化硅膜,然后利用根据本发明第六实施例的膜形成方法在二氧化硅膜上形成Al2O3膜,之后借助汽相淀积法在Al2O3膜上形成铝电极,由此制备MOS器件。
在下一步骤中,通过测量如此制备的每个MOS器件的电容-电压特性来评估每个样品的界面状态密度。
图12示出了每个MOS器件的界面状态密度。
如图12所示,在衬底上只形成Al2O3膜的情况下,界面状态密度比在衬底上只形成二氧化硅膜以降低界面特性的情况高。然而,已经发现在二氧化硅膜和Al2O3膜在衬底上一个层叠在另一个上以提高界面特性的情况下,界面状态密度降低了。应该理解,在二氧化硅膜形成在与Al2O3膜的界面处的情况下,界面状态密度降低了。
因此,由于通过形成上述栅极绝缘膜36可以增加栅极绝缘膜36的介电常数,因此栅极绝缘膜36的有效厚度可以比常规器件的小。而且,由于通过形成上述栅极绝缘膜36可以增加栅极绝缘容量,因此可以增加TFT 30的ON电流。此外,由于界面状态密度很低,因此可以提高TFT 30的上升特性。此外,在本实施例中可在低于有机金属汽相生长法中采用的温度下形成栅极绝缘膜36,从而可以降低对下层的损伤。另外,栅极绝缘膜36可以比原子层淀积法更高的膜形成速度形成。
如上所述,根据本发明的制造半导体器件的方法包括:在待处理衬底2的表面上的至少一部分中形成半导体层32的步骤;在半导体层32上层叠氧化硅层(二氧化硅层)36a的步骤;向等离子体处理室(腔室11)中输送包括有机金属化合物气体(TMA气体)、氧化气体和稀有气体的至少三种气体的步骤,使得稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%;在等离子体处理室内产生等离子体的步骤,以便允许有机金属化合物气体和氧化气体被等离子体分解,由此在氧化硅层36a上层叠作为金属氧化物膜的氧化铝层(Al2O3膜)36b。因而,根据上述半导体器件的制造方法,可以很容易地以低成本形成具有高介电常数和低缺氧量的绝缘膜。而且,本发明中定义的半导体器件的制造方法可以减小绝缘膜的厚度。根据该半导体器件的制造方法,等离子体处理室内的电子密度显著高于常规方法中的等离子体处理室内的电子密度,结果是促进了有机金属化合物气体和氧化气体的分解。
本发明还提供制造显示器件的方法,该显示器件包括在待处理衬底2上以形成矩阵的方式设置的多个TFT 30,该方法包括:形成多个半导体层32的步骤,用于在待处理衬底2上形成多个TFT 30;在半导体器件32上层叠氧化硅层36a的步骤;向等离子体处理室(腔室11)内输送包括有机金属化合物气体(TMA气体)、氧化气体和稀有气体的至少三种气体的步骤,使得稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%;和在等离子体处理室内产生等离子体的步骤,以便允许有机金属化合物气体和氧化气体被等离子体分解,由此在氧化硅层36a上层叠作为金属氧化物膜的氧化铝层(Al2O3膜)36b。因而,根据本发明中定义的显示器件的制造方法,可以很容易地以低成本形成具有高介电常数和低缺氧量的绝缘膜。而且,本发明中定义的显示器件的制造方法可以减小绝缘膜的厚度。根据该显示器件的制造方法,等离子体处理室内的电子密度显著高于常规方法中的等离子体处理室内的电子密度,结果是促进了有机金属化合物气体和氧化气体的分解。
此外,根据本发明的半导体器件的制造方法包括:在待处理衬底2的表面上的至少一部分中形成半导体层32的步骤;在半导体层32上层叠氧化硅层(二氧化硅层)36a的步骤;向等离子体处理室(腔室11)中输送包括有机金属化合物气体(TMA气体)、氧化气体和氢气的至少三种气体的步骤;和在等离子体处理室内产生等离子体的步骤,以便允许有机金属化合物气体和氧化气体被等离子体分解,由此在氧化硅层36a上层叠提供金属氧化物膜的氧化铝层(Al2O3膜)36b。因而,根据上述半导体器件的制造方法,可以很容易地以低成本形成具有高介电常数和低缺氧量的绝缘膜。而且,本发明中定义的半导体器件的制造方法可以减小绝缘膜的厚度。根据该半导体器件的制造方法,在氢气和氧化气体之间发生反应,有效地产生氧原子。
该半导体器件的制造方法可以用于制造如金属氧化物半导体器件(MOS器件)中的薄膜晶体管(TFT)等半导体器件。
此外,本发明提供显示器件的制造方法,该显示器件包括在待处理衬底2上以形成矩阵的方式设置的多个TFT 30,该方法包括:形成多个半导体层32的步骤,用于在待处理衬底2上形成多个TFT 30;在半导体器件32上层叠氧化硅层36a的步骤;向等离子体处理室(腔室11)内输送包括有机金属化合物气体(TMA气体)、氧化气体和氢气的至少三种气体的步骤;和在等离子体处理室内产生等离子体的步骤,以便允许有机金属化合物气体、氧化气体和氢气被等离子体分解,由此在氧化硅层36a上层叠提供金属氧化物膜的氧化铝层(Al2O3膜)36b。因而,根据该显示器件的制造方法,可以很容易地以低成本形成具有高介电常数和低缺氧量的绝缘膜。而且,本发明中定义的显示器件的制造方法可以减小绝缘膜的厚度。
顺便提及,在上述显示器件的制造方法和半导体器件的制造方法的每种方法中,希望层叠具有至少2nm厚度的氧化硅层。在这种情况下,该膜的介电常数可以满意地增加。根据显示器件的制造方法,等离子体处理室内的电子密度可以显著高于常规方法中等离子体处理室内的电子密度,结果是促进了有机金属化合物气体和氧化气体的分解。
该显示器件的制造方法可用于制造如液晶显示器件、有机EL显示器件或无机EL显示器件等显示器件。
而且,用于形成栅极绝缘膜36的金属氧化物膜不限于氧化铝膜。此外,金属氧化物膜不必按照与氧化硅层36a完全重叠的方式形成。此外,金属氧化物膜的形成区域是可以选择的。
本发明的技术范围不限于上述具体实施例。当然,在本发明的技术范围内可以对本发明进行各种方式的修改。
根据本发明,可以获得允许很容易地以低成本形成低缺氧量的膜,可以获得半导体器件的制造法,获得半导体器件,获得显示器件的制造方法,和获得显示器件。

Claims (22)

1、一种膜形成方法,其特征在于包括:
向等离子体处理室内输送包括硅化合物气体、氧化气体和稀有气体的至少三种气体,以总压力为基础的稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%;和
在等离子体处理室内产生等离子体,以便在待处理衬底上形成氧化硅膜。
2、一种膜形成方法,其特征在于包括:
向等离子体处理室内输送包括硅化合物气体、氧化气体和氢气的至少三种气体;和
在等离子体处理室内产生等离子体,以便在待处理衬底上形成氧化硅膜。
3、根据权利要求1所述的膜形成方法,其特征在于硅化合物气体包括选自四乙氧基硅烷气体、四甲基环四硅氧烷气体、二乙酰氧基二叔丁氧基硅烷气体、和六甲基二硅氧烷气体中的至少一种,而且氧化气体包括选自氧气、臭氧气体、一氧化碳气体和二氧化碳气体中的至少一种。
4、根据权利要求2所述的膜形成方法,其特征在于硅化合物气体包括选自四乙氧基硅烷气体、四甲基环四硅氧烷气体、二乙酰氧基二叔丁氧基硅烷气体和六甲基二硅氧烷气体中的至少一种,并且氧化气体包括选自氧气、臭氧气体、一氧化碳气体和二氧化碳气体中的至少一种。
5、根据权利要求1所述的膜形成方法,其特征在于硅化合物气体是由硅烷气体提供的,氧化气体包括选自氧气和臭氧气体中的至少一种。
6、根据权利要求2所述的膜形成方法,其特征在于硅化合物气体是由硅烷气体提供的,氧化气体包括选自氧气和臭氧气体中的至少一种。
7、一种膜形成方法,其特征在于包括:
向等离子体处理室内输送包括有机金属化合物气体、氧化气体和稀有气体的至少三种气体,以总压力为基础的稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%;和
在等离子体处理室内产生等离子体,以便在待处理衬底上形成氧化硅和/或金属氧化物的膜。
8、一种膜形成方法,其特征在于包括:
向等离子体处理室内输送包括有机金属化合物气体、氧化气体和氢气的至少三种气体;和
在等离子体处理室内产生等离子体,以便在待处理衬底上形成氧化硅和/或金属氧化物的膜。
9、根据权利要求7所述的膜形成方法,其特征在于有机金属化合物气体是选自三甲基铝、三乙基铝、三丙氧基锆、五乙氧基钽和三丙氧基铪中的至少一种化合物气体。
10、根据权利要求8所述的膜形成方法,其特征在于有机金属化合物气体是选自三甲基铝、三乙基铝、三丙氧基锆、五乙氧基钽和三丙氧基铪中的至少一种化合物气体。
11、根据权利要求1所述的膜形成方法,其特征在于在等离子体处理室内产生的等离子体是表面波等离子体。
12、根据权利要求2所述的膜形成方法,其特征在于在等离子体处理室内产生的等离子体是表面波等离子体。
13、一种半导体器件,其特征在于包括晶体管,该晶体管包括由选自氧化硅膜和金属氧化物膜中的至少一种形成的栅极绝缘膜,并且该栅极绝缘膜是通过权利要求7中限定的膜形成方法形成的。
14、一种膜形成方法,其特征在于包括:
向待处理衬底上输送包括有机金属化合物气体、氧化气体和稀有气体的至少三种气体,使得以总压力为基础的稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%,其中半导体层形成在所述衬底的至少一部分上,而且所述衬底设置在等离子体处理室内;和
在等离子体处理室内产生等离子体,从而在氧化硅层上层叠金属氧化物膜。
15、一种半导体器件的制造方法,其特征在于包括:
向等离子体处理室内输送包括有机金属化合物气体、氧化气体和氢气的至少三种气体,其中在所述等离子体处理室内设置待处理衬底,半导体层形成在所述衬底的至少一部分的表面上;和
在等离子体处理室内产生等离子体,从而在氧化硅层上层叠金属氧化物膜。
16、根据权利要求14的半导体器件的制造方法,其特征在于形成的氧化硅层为至少2nm厚。
17、根据权利要求15的半导体器件的制造方法,其特征在于形成的氧化硅层为至少2nm厚。
18、一种显示器件,其特征在于包括用做像素选择元件且以形成矩阵的方式设置的多个晶体管,每个晶体管包括由选自氧化硅膜和金属氧化物膜中的至少一种形成的栅极绝缘膜,并且该栅极绝缘膜是通过权利要求7所定义的膜形成方法形成的。
19、一种显示器件的制造方法,该显示器件包括在待处理衬底上以形成矩阵的方式设置的多个薄膜晶体管,形成在待处理衬底上的半导体层,和用于在半导体层上形成每个薄膜晶体管中包含的栅极绝缘膜的方法,包括:
向其中设置有待处理衬底的等离子体处理室内输送包括有机金属化合物气体、氧化气体和稀有气体的至少三种气体,使得以总压力为基础的稀有气体的分压百分比(Pr)不小于85%,即85%≤Pr<100%;和
在等离子体处理室内产生等离子体,以便形成金属氧化物膜。
20、一种显示器件的制造方法,该显示器件包括在待处理衬底上以形成矩阵的方式设置的多个薄膜晶体管,形成在该待处理衬底上的半导体层,和用于在半导体层上形成每个薄膜晶体管中包含的栅极绝缘膜的方法,包括:
向其中设置有待处理衬底的等离子体处理室内输送包括有机金属化合物气体、氧化气体和氢气的至少三种气体;和
在等离子体处理室内产生等离子体,以便形成金属氧化物膜。
21、根据权利要求19的显示器件的制造方法,其特征在于形成的氧化硅层为至少2nm厚。
22、根据权利要求20的显示器件的制造方法,其特征在于形成的氧化硅层为至少2nm厚。
CNA2004100329640A 2003-04-18 2004-04-19 膜形成方法、半导体器件和显示器件及其制造方法 Pending CN1570204A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2003114640 2003-04-18
JP114640/2003 2003-04-18
JP2004095559A JP2004336019A (ja) 2003-04-18 2004-03-29 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
JP095559/2004 2004-03-29

Publications (1)

Publication Number Publication Date
CN1570204A true CN1570204A (zh) 2005-01-26

Family

ID=33161569

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004100329640A Pending CN1570204A (zh) 2003-04-18 2004-04-19 膜形成方法、半导体器件和显示器件及其制造方法

Country Status (5)

Country Link
US (2) US7307028B2 (zh)
JP (1) JP2004336019A (zh)
KR (1) KR20040090903A (zh)
CN (1) CN1570204A (zh)
TW (1) TW200427869A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102326236A (zh) * 2009-02-19 2012-01-18 东京毅力科创株式会社 硅氧化膜的成膜方法和半导体器件的制造方法
CN105762107A (zh) * 2014-12-18 2016-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
JP2006312778A (ja) * 2005-04-06 2006-11-16 Toyo Seikan Kaisha Ltd 表面波プラズマによる蒸着膜の形成方法及び装置
JP5017906B2 (ja) * 2005-04-19 2012-09-05 東洋製罐株式会社 プラズマcvd用マイクロ波供給装置及び該マイクロ波供給装置を備えた蒸着膜形成装置
JP2006339371A (ja) * 2005-06-01 2006-12-14 Toshiba Corp 半導体装置の製造方法
JP2007048893A (ja) * 2005-08-09 2007-02-22 Fujifilm Corp 固体撮像素子およびその製造方法
US7524765B2 (en) * 2005-11-02 2009-04-28 Intel Corporation Direct tailoring of the composition and density of ALD films
JP4396744B2 (ja) 2006-09-15 2010-01-13 セイコーエプソン株式会社 電気光学装置及び電子機器
JP5216446B2 (ja) * 2007-07-27 2013-06-19 株式会社半導体エネルギー研究所 プラズマcvd装置及び表示装置の作製方法
JP5679622B2 (ja) 2008-01-31 2015-03-04 株式会社東芝 絶縁膜、およびこれを用いた半導体装置
US20090230389A1 (en) * 2008-03-17 2009-09-17 Zhizhang Chen Atomic Layer Deposition of Gate Dielectric Layer with High Dielectric Constant for Thin Film Transisitor
US8486792B2 (en) 2008-05-13 2013-07-16 Tokyo Electron Limited Film forming method of silicon oxide film, silicon oxide film, semiconductor device, and manufacturing method of semiconductor device
JP5344873B2 (ja) * 2008-08-28 2013-11-20 三菱電機株式会社 炭化珪素半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
CN103270578B (zh) * 2010-12-30 2016-10-26 应用材料公司 使用微波等离子体的薄膜沉积
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9466524B2 (en) 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
GB201207448D0 (en) * 2012-04-26 2012-06-13 Spts Technologies Ltd Method of depositing silicon dioxide films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10358717B2 (en) * 2017-04-21 2019-07-23 Lam Research Corporation Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107742604B (zh) * 2017-10-19 2019-10-29 中国科学院电工研究所 具有室温铁磁性氢铪共掺杂氧化铟薄膜的制备方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN114830324A (zh) * 2019-12-27 2022-07-29 株式会社半导体能源研究所 存储装置及其制造方法
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
TW371796B (en) 1995-09-08 1999-10-11 Semiconductor Energy Lab Co Ltd Method and apparatus for manufacturing a semiconductor device
JPH11279773A (ja) 1998-03-27 1999-10-12 Tomoo Ueno 成膜方法
US6246076B1 (en) 1998-08-28 2001-06-12 Cree, Inc. Layered dielectric on silicon carbide semiconductor structures
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7199061B2 (en) * 2003-04-21 2007-04-03 Applied Materials, Inc. Pecvd silicon oxide thin film deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102326236A (zh) * 2009-02-19 2012-01-18 东京毅力科创株式会社 硅氧化膜的成膜方法和半导体器件的制造方法
CN105762107A (zh) * 2014-12-18 2016-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法

Also Published As

Publication number Publication date
KR20040090903A (ko) 2004-10-27
US20070105402A1 (en) 2007-05-10
JP2004336019A (ja) 2004-11-25
US7446060B2 (en) 2008-11-04
US20040209005A1 (en) 2004-10-21
US7307028B2 (en) 2007-12-11
TW200427869A (en) 2004-12-16

Similar Documents

Publication Publication Date Title
CN1570204A (zh) 膜形成方法、半导体器件和显示器件及其制造方法
CN100352016C (zh) 半导体器件及其制造方法
CN1206736C (zh) 半导体装置、互补型半导体装置
CN1291461C (zh) 电介质膜、半导体器件及它们的制造方法
CN1860596A (zh) 电子器件材料的制造方法
CN1148786C (zh) 异物除去法及膜形成方法
CN1274009C (zh) 薄膜半导体器件的制造方法
CN1311533C (zh) 制造半导体器件的方法和设备
KR100859115B1 (ko) 산화막 형성방법 및 장치
CN1129955C (zh) 半导体器件的制造方法
CN1489784A (zh) 电子器件材料的制造方法
US20080224205A1 (en) Vertical Thin-Film Transistor with Enhanced Gate Oxide
CN1831192A (zh) 半导体处理用成膜方法、成膜装置和存储介质
CN1293265A (zh) 利用含硝酸盐的前体淀积原子层
CN1620208A (zh) 显示器件
CN1967787A (zh) 基底绝缘膜的形成方法
CN1638061A (zh) 形成介电薄膜的方法
CN1097300C (zh) 制造半导体器件的方法、显示装置、电子设备
CN1437761A (zh) 半导体器件的制造方法
CN1529344A (zh) 薄膜器件的制造方法
CN1669153A (zh) 半导体器件及其制造方法和制造设备
CN1276630A (zh) 半导体器件及其制造方法
CN1431716A (zh) 半导体装置及半导体装置的制造方法
CN1820373A (zh) 栅极绝缘膜的形成方法、存储介质、计算机程序
CN1146025C (zh) 形成薄膜的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication