KR101590082B1 - 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들 - Google Patents

실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들 Download PDF

Info

Publication number
KR101590082B1
KR101590082B1 KR1020147016529A KR20147016529A KR101590082B1 KR 101590082 B1 KR101590082 B1 KR 101590082B1 KR 1020147016529 A KR1020147016529 A KR 1020147016529A KR 20147016529 A KR20147016529 A KR 20147016529A KR 101590082 B1 KR101590082 B1 KR 101590082B1
Authority
KR
South Korea
Prior art keywords
layer
forming
silicon oxide
patterned magnetic
patterned
Prior art date
Application number
KR1020147016529A
Other languages
English (en)
Other versions
KR20140098795A (ko
Inventor
스티븐 버하버베케
로맨 고욱
리-쿤 시아
메이-이 섹
유 진
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140098795A publication Critical patent/KR20140098795A/ko
Application granted granted Critical
Publication of KR101590082B1 publication Critical patent/KR101590082B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F41/00Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties
    • H01F41/32Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film, specially adapted for a thin magnetic film
    • H01F41/34Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film, specially adapted for a thin magnetic film in patterns, e.g. by lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/743Patterned record carriers, wherein the magnetic recording layer is patterned into magnetic isolated data islands, e.g. discrete tracks
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 실시예들은 자기 매체에서 이용하기 위한 패터닝된 자기 층을 형성하기 위한 방법들 및 장치들을 제공한다. 본 출원의 실시예들에 따라서, 저온 화학 기상 증착에 의해서 형성된 실리콘 산화물 층이 하드 마스크 층 내에 패턴을 형성하기 위해서 이용되고, 그리고 플라즈마 이온 주입에 의해서 패터닝된 자기 층을 형성하기 위해서 패터닝된 하드 마스크가 이용된다.

Description

실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들{APPARATUS AND METHODS FOR SILICON OXIDE CVD PHOTORESIST PLANARIZATION}
본 발명의 실시예들은 일반적으로 자기 매체를 제조하기 위한 장치들 및 방법들에 관한 것이다. 보다 구체적으로, 본 발명의 실시예들은 자기 매체 상에 자기 감응형(susceptible) 층들을 패터닝하기 위한 장치들 및 방법들에 관한 것이다.
자기 매체가 하드 디스크 드라이브들(HDD) 및 자기저항형 랜덤 액세스 메모리(MRAM) 디바이스들과 같은 여러 가지 전자 디바이스들에서 이용되고 있다. 자기 매체 디바이스들은 자기 도메인들(domains)을 이용하여 정보를 저장 및 검색(retrieve)한다. 하드-디스크 드라이브는 일반적으로, 자기 헤드에 의해서 개별적으로 어드레스될 수 있는 자기 도메인들을 갖는 디스크들 형태의 자기 매체를 포함한다. 자기 헤드가 자기 도메인과의 근접부로 이동하고 그리고 정보를 기록하기 위해서 자기 도메인의 자기 성질들을 변경한다. 기록된 정보를 회수하기 위해서, 자기 헤드가 자기 도메인과의 근접부로 이동하고 그리고 자기 도메인의 자기 성질들을 검출한다. 자기 도메인의 자기 성질들은 일반적으로 2개의 가능한 상태들 즉, "0" 상태 및 "1" 상태 중 하나에 상응하는 것으로 해석된다. 이러한 방식으로, 디지털 정보가 자기 매체 상에 기록될 수 있고 그 후에 회복될 수 있을 것이다.
전형적으로 자기 매체는 자기 감응형 층들이 상부에 형성된 비-자기 유리, 복합체 유리/세라믹, 또는 금속 기판을 포함한다. 자기 무활동(inactivity) 지역들과 간격을 두고 배치된(interspersed) 자기 감응성 지역들을 자기 매체의 표면들이 가지도록, 자기 감응형 층이 일반적으로 패터닝된다.
통상적으로, 자기 감응형 층 위에 패턴을 형성하기 위해서 포토레지스트 층을 임프린팅하는 것(imprinting) 및 마스크로서 작용하는 임프린트된 포토레지스트 층을 이용하여 자기 감응형 층을 주입하는 것(implanting)에 의해서, 자기 감응형 층이 패터닝된다. 그러나, 이러한 통상적인 접근 방식에는 몇 가지 문제들이 있다. 포토레지스트 층을 임프린팅하는 것은 패턴을 형성하기 위해서 포토레지스트의 작은 필라들(pillars)을 남기는 것을 목적으로 하기 때문에, 임프린트 다이를 상승시킬 때, 포토레지스트의 작은 필라들이 때때로 임프린트 다이와 함께 실수로 제거되고, 그에 따라 결함들을 생성한다. 전형적으로, 패턴 임프린팅에 적합한 포토레지스트는, 후속 프로세싱 조건들에 의해서 손상될 수 있는 약한 폴리머이다. 부가적으로, 임프린팅 프로세스들은 포토레지스트의 두께를 제한하기 때문에, 후속 프로세싱 조건들을 견디기 위한 보다 두꺼운 두께의 포토레지스트가 이용될 수 없다.
그에 따라, 자기 매체 제조에서의 패터닝 프로세스를 개선할 필요가 있다.
본 발명의 실시예들은 일반적으로 패터닝된 자기 층을 형성하기 위한 장치들 및 방법들에 관한 것이다.
본 발명의 일 실시예는 패터닝된 자기 층을 형성하기 위한 방법을 제공한다. 상기 방법은 기판 상의 자기 감응형 층 위에 증착된 하드 마스크 층 내에 패턴을 형성하는 단계, 및 상기 자기 감응형 층의 자기 성질을 변경하여 패터닝된 자기 층을 형성하기 위해서 상기 기판을 향해서 에너지를 지향시키는 단계를 포함한다.
본 발명의 일 실시예는 패터닝된 자기 층을 형성하기 위한 방법을 제공한다. 상기 방법은 자기 감응형 층 및 상기 자기 감응형 층 위의 하드 마스크 층을 갖는 기판 상에 패터닝된 포토레지스트 층을 형성하는 단계, 상기 패터닝된 포토레지스트 층 내의 비아들(vias)을 충진하기 위해서, 화학 기상 증착에 의해서, 상기 패터닝된 포토레지스트 층 위에 실리콘 산화물 층을 증착하는 단계, 및 상기 포토레지스트 층을 노출시키기 위해서 상기 실리콘 산화물 층을 에칭 백(etching back)시키는 단계를 포함한다. 상기 방법은 실리콘 산화물 층의 패턴을 형성하기 위해서 상기 포토레지스트 층을 제거하는 단계, 상기 실리콘 산화물 층의 패턴을 이용하여 상기 하드 마스크 층을 에칭하는 단계, 및 상기 자기 감응형 층의 자기 성질을 변경하여 패터닝된 자기 층을 형성하기 위해서 상기 기판을 향해서 에너지를 지향시키는 단계를 더 포함한다.
본 발명의 다른 실시예는 패터닝된 자기 층을 형성하기 위한 시스템을 제공한다. 상기 시스템은 화학 기상 증착 챔버 및 에칭 챔버 중 적어도 하나를 포함하는 제 1 툴 및 하나 또는 둘 이상의 플라즈마 이온 함침(immersion) 주입 챔버들을 포함하는 제 2 툴을 포함한다. 상기 시스템은 기판들을 뒤집도록(flip) 구성된 기판 뒤집기 툴, 그리고 상기 제 1 툴, 상기 제 2 툴, 및 상기 기판 뒤집기 툴 사이에서 기판들을 이송하기 위한 로봇을 갖는 트랙 조립체를 더 포함한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서서 간략히 요약된 본 발명의 보다 구체적인 설명이, 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명의 일 실시예에 따른 패터닝된 자기 층을 형성하기 위한 하나의 방법의 흐름도이다.
도 2a-2g는 도 1의 방법의 여러 스테이지들에서의 기판의 개략적 측면도들이다.
도 3은 본 발명의 일 실시예에 따른 시스템 레이아웃의 평면도이다.
도 4는 본 발명의 다른 실시예에 따른 시스템 레이아웃의 평면도이다.
이해를 돕기 위해서, 가능한 경우에, 도면들에서 공통되는 동일한 요소들을 나타내기 위해서 동일한 참조 번호들을 사용하였다. 추가적인 언급이 없이도, 일 실시예에서 개시된 요소들이 다른 실시예들에서 유리하게 이용될 수 있다는 것이 이해된다.
본 발명의 실시예들은 자기 매체를 위한 패터닝된 자기 층을 형성하기 위한 방법들 및 장치들을 제공한다. 본 출원의 실시예들에 따라서, 실리콘 산화물 층이 패터닝된 하드 마스크 층을 형성하기 위해서 이용되고, 그리고 패터닝된 하드 마스크 층이 플라즈마 주입에 의해서 자기 감응형 층을 패터닝하기 위해서 이용된다. 특히, 자기 감응형 층 상에 증착된 하드 마스크 층 위의 포토레지스트 층을 이용하여, 의도된 패턴의 음화(negative) 이미지가 먼저 형성된다. 상기 음화 이미지는 임프린팅과 같은 통상적인 접근방식에 의해서 형성될 수 있을 것이다. 이어서, 실리콘 산화물 층이 패터닝된 포토레지스트 층 위에 증착되어 포토레지스트 층 내의 비아를 충진한다. 포토레지스트 층 및 자기 감응형 층의 손상을 방지하기 위해서, 상기 실리콘 산화물 층이 화학 기상 증착(CVD) 프로세스와 같은 저온 프로세스에 의해서 형성된다. 이어서, 실리콘 산화물 층을 에칭 백하여 포토레지스트 층을 노출시킨다. 이어서, 포토레지스트 층을 제거하여 하드 마스크 층 위에 실리콘 산화물의 패턴을 남긴다. 하드 마스크 층은, 마스크로서 기능하는 패터닝된 실리콘 산화물을 이용하여 에칭된다. 이어서, 하드 마스크 층 및 실리콘 산화물 층의 패턴 하에서 자기 감응형 층이 패터닝된다.
도 1은 본 발명의 일 실시예에 따라서 패터닝된 자기 층을 형성하기 위한 방법(100)을 요약한 흐름도이다. 방법(100)에 따라서, 실리콘 산화물은 포토레지스트 층에 의해서 형성된 음화 이미지를 통해서 패터닝되고 그리고 패터닝된 자기 층을 형성하기 위한 하드 마스크를 패터닝하기 위해서 이용된다.
박스(110)에서, 패터닝된 포토레지스트 층이 자기 감응형 층 및 상기 자기 감응형 층 위에 형성된 하드 마스크 층을 갖는 기판 상에 형성된다. 일반적으로, 기판은 금속, 유리, 세라믹, 또는 이들의 조합들과 같이 구조적으로 강한 재료의 베이스 층을 포함한다. 자기 매체의 경우에, 자기 불투과적이거나 매우 약한 상자성 성질들 만을 가지고 자기 감응형 층에 대해서 양호하게 접착되는 기판들, 예를 들어 알루미늄, 유리 또는 탄소 복합체의 기판들이 일반적으로 이용된다.
자기 감응형 층이 하나 또는 둘 이상의 강자성 재료들로 형성될 수 있을 것이다. 자기 감응형 재료가 다수의 층들로 형성될 수 있고, 각각의 층이 동일한 또는 상이한 조성을 가진다. 자기 감응형 층이 코발트, 백금, 니켈, 몰리브덴, 크롬, 탄탈륨, 철, 테르븀, 및 가돌리늄으로 이루어진 그룹으로부터 선택된 하나 또는 둘 이상의 요소들을 포함할 수 있을 것이다. 자기 감응형 층이 물리기상증착, 또는 스퍼터링, 화학 기상 증착, 플라즈마-강화형 화학 기상 증착, 스핀-코팅, 전기화학적 수단에 의한 도금 또는 무전해 수단에 의한 도금, 및 기타 등등과 같은 임의의 적합한 방법에 의해서 형성될 수 있을 것이다.
자기 감응형 층 위에 형성되는 하드 마스크 층이 150 ℃ 미만보다 더 낮은 온도와 같은 낮은 온도들에서 형성되는 탄소 필름일 수 있고, 그에 따라 자기 감응형 층이 하드 마스크 층의 형성 중에 손상되지 않는다.
포토레지스트 층이, 경화되기에 앞서서 유동할 그리고 경화 후의 패터닝 프로세스들 중에 하부 층들에 대한 보호를 제공할, 에폭시 또는 열가소성 폴리머와 같은 경화가능 재료를 포함할 수 있을 것이다. 포토레지스트 층이 스핀-온 방법에 의해서 형성될 수 있을 것이다. 포토레지스트 층이 형판(template)을 이용한 물리적 임프린트에 의해서 패터닝된다. 대안적으로, 전자 비임, 이온 비임 또는 분자 비임 라이팅(writing)과 같이, 작은 특징부들을 형성하기에 적합한 임의의 프로세스를 이용하여 포토레지스트 층이 패터닝될 수 있을 것이다.
일반적으로, 포토레지스트 재료 내에 형성된 패턴이 포토레지스트 재료의 얇은 층에 의해서 커버되거나 포토레지스트 재료가 없는 하드 마스크 층의 부분들, 및 포토레지스트 재료의 두꺼운 층에 의해서 커버된 다른 부분들을 초래한다. 두꺼운 포토레지스트 층이 약 50 nm 내지 약 150 nm, 예를 들어 약 60 nm 내지 약 100 nm, 예를 들어 약 80 nm의 두께를 가질 수 있을 것이다. 포토레지스트 층 내의 패턴은 자기 감응형 층 상에서 이용하기 위한 의도된 패턴의 음화 이미지이다. 음화 이미지는 의도된 패턴보다 손상들에 대해서 덜 민감한데, 이는 남아 있게 되는 포토레지스트의 컬럼들을 갖는 대신에, 패터닝된 포토레지스트 층이 제거되는 포토레지스트의 컬럼들을 가지기 때문이다.
박스(120)에서, 실리콘 산화물 층이 증착되고 패터닝된 포토레지스트 층 내에 충진된다. 자기 감응형 층 및 패터닝된 포토레지스트 층에 대한 열적 손상을 방지하기 위해서, 실리콘 산화물 층이 저온 증착 방법에 의해서 형성된다. 저온 화학 기상 증착(CVD)을 이용하여 실리콘 산화물 층을 형성할 수 있을 것이다. 일반적으로, 실리콘 산화물 층은 약 150 ℃보다 낮은 온도, 가령 약 20 ℃ 내지 약 100 ℃, 또는 약 30 ℃ 내지 약 80 ℃, 예를 들어 약 70 ℃에서 형성된다. 실리콘 산화물 층이, Applied Materials, Inc. 로부터 입수가 가능한, PRODUCER® CVD 또는 원자층 증착(ALD) 챔버, 또는 플라즈마 이온 함침 주입(P3i) 챔버를 이용하여 형성될 수 있을 것이다.
CVD 프로세스 중에, 실리콘 함유 전구체가 프로세싱 챔버로 유동되어 실리콘 함유 절반-층(half-layer)을 기판 상에 등각적으로(conformally) 증착함으로써, 패터닝된 포토레지스트 층의 수직 및 수평 표면들을 포함하여, 마스킹된 지역들 또는 마스킹되지 않은 지역들 내의 패터닝된 포토레지스트 층을 커버한다. 이어서, 반응성 산소 함유 가스가 프로세싱 챔버 내로 도입되고 그리고 실리콘 함유 절반-층과 반응하여 등각적인 실리콘 산화물 층을 생성한다. 공극들이 형성되는 것을 방지하기 위해서, 실리콘 함유 전구체 및 반응성 산소 함유 가스가 프로세싱 챔버 내로 주기적으로 유동되어 다수의 얇은 층들로 실리콘 산화물 층을 형성할 수 있을 것이다.
실리콘 산화물 층의 증착에 적합한 전구체들은, CVD 프로세스들을 위한 전술한 낮은 온도들 및 낮은 압력에서 증기 상으로 유지될 수 있는 전구체들을 포함한다. 원격 플라즈마를 이용하여 활성화되기 쉬운 전구체들이 또한 적합하다.
비스(디에틸아미노)실란(BDEAS)이 실리콘 산화물 층을 형성하기 위한 실리콘 함유 전구체로서 이용될 수 있을 것이다. BDEAS가 약 5 sccm 내지 약 1000 sccm의 유량으로 챔버 내로 도입될 수 있을 것이다. 선택적인 캐리어 가스, 예를 들어 헬륨이 약 100 sccm 내지 약 20000 sccm의 유량으로 챔버 내로 도입될 수 있을 것이다. 챔버 내로의, 헬륨의 유량에 대한, BDEAS의 유량의 비율이 약 1:1 또는 그 초과, 가령 약 1:1 내지 약 1:100이다. BDEAS가 실리콘 산화물 층을 증착하기 위해서 챔버 내로 유동되는 동안, 챔버 압력이 약 5 mTorr 초과, 가령 약 1.8 Torr 내지 약 100 Torr일 수 있고, 그리고 프로세싱 챔버 내의 기판 지지부의 온도가 약 10 ℃ 내지 약 100 ℃일 수 있을 것이다. 보다 구체적으로, 온도가 약 30 ℃ 내지 약 80 ℃가 된다. BDEAS가 약 5 Å 내지 약 200 Å의 두께를 갖는 층을 증착하기에 충분한 시간의 기간 동안 챔버 내로 유동될 수 있을 것이다. 예를 들어, BDEAS가 약 0.1초 내지 약 60초 동안 챔버 내로 유동될 수 있을 것이다.
산소 함유 전구체가 오존/산소 혼합물일 수 있을 것이다. 예를 들어, 산소 내의 약 0.5 부피% 내지 약 10 부피%의 오존으로 이루어진 오존/산소 혼합물이 약 100 sccm 내지 약 20000 sccm의 유량으로 프로세싱 챔버 내로 도입될 수 있을 것이다. 약 70 ℃ 내지 약 300 ℃, 예를 들어 약 100 ℃ 내지 약 180 ℃의 온도에서 제어되는, 챔버 벽, 가스 분배기 또는 샤워헤드와 같은 가열된 챔버 표면과 접촉하는 것에 의해서 오존/산소 혼합물이 활성화될 수 있을 것이다. 오존/산소 가스가 챔버 내로 유동되는 동안에, 챔버 압력이 약 5 mTorr 내지 약 100 Torr일 수 있고, 그리고 챔버 내의 기판 지지부의 온도가 약 10 ℃ 내지 약 100 ℃, 예를 들어 약 30 ℃ 내지 약 80 ℃일 수 있을 것이다.
다른 적합한 실리콘 함유 전구체가 옥타메틸시클로테트라실록산(OMCTS), 메틸디에톡시실란(MDEOS), 비스(터티어리-부틸아미노)실란(BTBAS), 비스(디에틸아미노)실란(BDEAS), 트리스(디메틸아미노)실란(TDMAS), 비스(디메틸아미노)실란(BDMAS), 비스(에틸-메틸아미노)실란 (BEMAS), 테트라메틸 오르토실리케이트(TMOS), 트리메틸실란(trimethylsilane)(TMS), 테트라에틸 오르토실리케이트(TEOS), 및 이들의 조합들로 이루어진 그룹으로부터 선택될 수 있을 것이다.
다른 적합한 산소 함유 전구체가 원격 플라즈마 공급원에 의해서 발생된 활성 산소 라디칼들과 혼합된 오존일 수 있을 것이다. 산소 가스를 원격 플라즈마 발생기로 제공함으로써 그리고 13.56 MHz 및/또는 350 KHz의 주파수에서 약 50 W 내지 약 3,000 W의 RF 전력을 원격 플라즈마 발생기로 커플링시킴으로써, 원격 플라즈마가 형성될 수 있을 것이다.
대안적인 실시예에서, 활성 산소 종들 및 실리콘 함유 전구체를 이용하는 ALD 프로세스를 이용하여, 패터닝된 포토레지스트 층을 충진하기 위한 실리콘 산화물 층을 형성할 수 있을 것이다. ALD 프로세스에서, 모든 증착 사이트들이 포화될 때까지, 실리콘 함유 전구체가 프로세싱 챔버로 제공되고 기판의 표면 상에서 증착되도록 허용된다. 이어서, 등각적인 실리콘 산화물 층을 형성하기 위해서 기판 표면 상에 증착된 실리콘 전구체와 반응하도록, 활성 산소 종(species)이 프로세싱 챔버로 제공된다. 이어서, 챔버가 퍼지 가스로 퍼지되어, 모든 산소 함유 종들을 프로세싱 챔버로부터 실질적으로 제거한다. 목표 두께에 도달할 때까지, 층 형성 사이클이 반복된다. ALD 프로세스들을 위한 적합한 실리콘 함유 전구체가 디클로로실란(DCS), 트리클로로실란(TCS), 사염화 규소, 디브로모실란(dibromosilane), 실리콘 테트라브로마이드, BDEAS, OMCTS, 트리실아민(trisilamine)(TSA), 실란, 디실란, 및 이들의 조합들로 이루어진 그룹으로부터 선택될 수 있을 것이다.
선택적으로, 실리콘 산화물을 증착하기 전에, 패터닝된 포토레지스트 층을 갖는 기판에 대해서, 산소 반응성 이온 에칭을 이용하는 디스컴(descum) 프로세스가 실시될 수 있을 것이다. 디스컴 프로세스는 기판의 표면으로부터 입자들 또는 다른 오염물질들을 제거한다.
박스(130)에서, 반응성 이온 에칭 프로세스를 이용하여 패터닝된 포토레지스트 층이 노출될 때까지 실리콘 산화물 층을 에칭 백한다. 불소-함유 플라즈마를 이용하여 실리콘 산화물 층을 에칭 백하여, 포토레지스트 층을 노출시킬 수 있을 것이다. 예를 들어, 테트라카본 모노플루오라이드(CF4) 가스가 기판을 포함하는 플라즈마 챔버로 제공된다. 불소 함유 플라즈마를 발생시키기 위해서 RF 에너지와 같은 분해 에너지를 인가하는 것에 의해서, CF4 가스가 원격적으로 또는 인 시츄로(in situ; 현장에서) 활성화된다. 유도 플라즈마 공급원을 이용하여, RF 에너지가 CF4 가스 내로 커플링될 수 있을 것이다. 불소 함유 플라즈마가, 포토레지스트 층의 손상 없이, 실리콘 산화물 층을 에칭 백할 수 있을 것이다. BF3, 및 SiF4와 같은 다른 재료들이 또한 불소 함유 플라즈마를 발생시키기 위해서 이용될 수 있을 것이다.
박스(140)에서, 반응성 이온 에칭 프로세스를 이용하여 패터닝된 포토레지스트 층을 제거하여 하드 마스크 층 위에서 실리콘 산화물 층 내에 패턴을 형성한다. 산화 가스의 플라즈마를 이용하여 실리콘 산화물 층을 손상시키지 않고 포토레지스트 층을 제거할 수 있을 것이다. 적합한 산화 가스가 O2, O3, NO3, CO, 또는 H2O 일 수 있을 것이다.
박스(150)에서, 실리콘 산화물 층 내의 패턴이 하부의 하드 마스크 층으로 복사되어, 후속 프로세싱을 위해서 자기 감응형 층의 부분들을 노출시킨다. 산화 가스의 플라즈마를 이용하여, 패터닝된 실리콘 산화물 및 자기 감응형 층을 손상시키지 않고, 노출된 하드 마스크 층을 제거할 수 있을 것이다. 적합한 산화 가스가 O2, O3, NO3, CO, 또는 H2O 일 수 있을 것이다.
박스(140)에서의 포토레지스트 층의 제거 및 박스(150)에서의 하드 마스크 층의 패터닝이 산화 가스의 동일한 플라즈마를 이용하여 단일 동작에서 실시될 수 있을 것이다.
박스(160)에서, 마스킹되지 않은 구역들 내의 자기 감응형 층의 자기 성질들을 변경하기 위해서, 에너지가 기판의 표면을 향해서 지향된다. 에너지가 이온들로서, 중성 입자들로서, 또는 복사선으로서 전달될 수 있을 것이다. 이온들이 각각 약 10개 미만의 원자들과 같은 적은 원자 카운트(low atom count)를 갖는 작은 이온들, 예를 들어 분자 이온들일 수 있을 것이고, 또는 이온들이 각각 약 10개의 원자들 또는 그 초과를 갖는 큰 이온들, 예를 들어 고분자(macromolecular) 이온들 또는 클러스터 이온들일 수 있을 것이다. 중성 입자들이 전술한 이온들의 타입들 중 임의의 타입의 중성화된 종들일 수 있고, 또는 라디칼 종들일 수 있을 것이다. 복사선이 레이저 또는 전자 비임 복사선일 수 있을 것이다. 자기 감응형 층을 마스킹하는 하드 마스크 층 및 실리콘 산화물 층이 통상적으로 이용되는 포토레지스트 패턴보다 증가된 두께 및 밀도를 가지기 때문에, 증가된 평균 운동 에너지를 갖는 에너지적인(energetic) 종들을 이용하여 기판의 자기 성질들을 변경할 수 있을 것이다.
박스(170)에서, 잔류 하드 마스크 층이 반응성 이온 에칭 프로세스에 의해서 제거될 수 있을 것이다. O2, O3, NO3, CO, 또는 H2O 와 같은 산화 가스의 플라즈마를 이용하여, 자기 감응형 층을 손상시키지 않고, 잔류 하드 마스크 층을 제거할 수 있을 것이다.
전술한 바와 같은 본 발명의 실시예들은 포토레지스트 층 대신에 패터닝된 마스크로서 실리콘 산화물 층을 이용하는 신규한 기술들을 포함한다. 패터닝된 표면을 충진하기 위한 그리고 평탄화된 실리콘 산화물 층을 형성하기 위한 저온 CVD 프로세스를 이용하는 것은 통상적인 스핀-온 기술들을 대체한다.
하드 마스크 층 상에 패턴을 형성하기 위해 마스크로서 실리콘 산화물을 이용하는 것에 의해서, 본 발명의 실시예들은 자기 감응형 층의 통상적인 패터닝 프로세스들에 비해 몇 가지 장점들을 제공한다. 본 발명의 실시예들에 따라서 형성된 실리콘 산화물 및 하드 마스크 패턴이 통상적인 포토레지스트 패턴에 대비하여 보다 강건한데(robust), 이는 실리콘 산화물 층 및 하드 마스크 층이 프로세싱 분위기 내에서 통상적인 폴리머 포토레지스트보다 물리적으로 그리고 화학적으로 보다 강하기 때문이다. 또한, 포토레지스트 임프린팅 프로세스의 제한이 없이, 하드 마스크 패턴이 증가된 두께로 제조될 수 있는데, 이는 하드 마스크 층을 위해서 보다 두꺼운 필름을 증착하는 것에 의해서 보다 두꺼운 마스크가 만들어질 수 있기 때문이다. 패턴의 증가된 두께는 패턴 내의 결함들을 감소시키고 자기 매체의 품질을 개선하는데, 이는 보다 두꺼운 마스크가 주입 프로세스들 중에 이온들의 타격(bombardment)을 보다 잘 견디기 쉽기 때문이다.
도 2a-2g는 도 1의 방법(100)에 따른 프로세싱의 여러 스테이지들에서의 기판(202)의 개략적 측면도들이다. 도 2a에서, 자기 감응형 층(204)이 기판(202) 상에 형성된다. 탄소 하드 마스크 층(206)이 자기 감응형 층(204) 위에 형성된다. 패터닝된 포토레지스트 층(208)이 탄소 하드 마스크 층(206) 상에 형성된다. 패터닝된 포토레지스트 층(208) 내의 패턴은, 도 1의 방법(100)의 박스(110)로 설명된 바와 같이, 자기 감응형 층(204) 상에서 이용하도록 의도된 패턴의 음화 이미지이다. 패터닝된 포토레지스트 층(208)에서, 복수의 비아들(209)이 임프린팅 프로세스에 의해서 형성된다.
도 2b에서, 실리콘 산화물 층(210)이 패터닝된 포토레지스트 층(208) 위에 형성되고 비아들(209)을 충진한다. 패터닝된 포토레지스트 층(208)이 실리콘 산화물 층(210)의 상단부 표면(212) 아래에 위치되도록 실리콘 산화물 층(210)이 비아들(209)을 과다 충진할 수 있을 것이다. 실리콘 산화물 층(210)이 방법(100)의 박스(120)에서 설명된 바와 같은 저온 CVD 프로세스에 의해서 형성될 수 있을 것이다.
도 2c에서, 패터닝된 포토레지스트 층(208)이 노출될 때까지, 실리콘 산화물 층(210)이 에칭 백된다. 에칭 백 프로세스가, 방법(100)의 박스(130)에서 설명된 바와 같은 반응성 이온 에칭 프로세스에 의해서 실시될 수 있을 것이다. 에칭 백 이후에, 포토레지스트 층(208)의 부분들 및 실리콘 산화물 층(210)의 부분들을 포함하는 상단부 표면(214)이 실질적으로 평면형일 수 있을 것이다.
도 2d에서, 패터닝된 포토레지스트 층(208)이 제거되어 하드 마스크 층(206)의 부분을 노출시킨다. 패터닝된 포토레지스트 층(208)이, 방법(100)의 박스(140)에서 설명된 바와 같은 반응성 이온 에칭 프로세스에 의해서 제거될 수 있을 것이다. 패터닝된 포토레지스트 층(208)이 제거된 상태에서, 실리콘 산화물의 컬럼들을 둘러싸는 트렌치들(211)을 갖는 패턴이 하드 마스크 층(206) 위의 실리콘 산화물 층(210) 내에 형성된다. 실리콘 산화물 층(210)의 패턴은 자기 감응형 층(204)을 프로세싱하기 위한 의도된 패턴과 동일하다.
도 2e에서, 하드 마스크 층(206)의 부분들을 제거하는 것에 의해서 하드 마스크 층(206)을 패터닝하기 위해서, 실리콘 산화물 층(210)이 이용된다. 하드 마스크 층(206)은, 방법(100)의 박스(150)에서 설명된 바와 같이, 자기 감응형 층(204) 및 실리콘 산화물 층(210)을 손상시키지 않는 반응성 이온 에칭 화학반응에 의해서 제거될 수 있을 것이다. 실리콘 산화물 층(210) 내의 패턴이 하드 마스크 층(206)으로 복사된다. 프로세스하고자 하는 자기 감응형 층(204)의 부분들이 노출될 때까지, 트렌치들(211)이 깊어진다. 실리콘 산화물 및 하드 마스크 재료의 컬럼들을 둘러싸는 깊어진 트렌치들(211)을 갖는 패턴이 형성된다.
도 2f에서, 에너지(216)가 자기 감응형 층(204)을 향해서 지향되어, 하드 마스크 층(206)에 의해서 커버되지 않은 마스킹되지 않은 구역들(218) 내의 자기 감응형 층(204)의 자기 성질들을 변화시킨다. 에너지가, 방법(100)의 박스(160)에서 설명된 바와 같이, 플라즈마 주입 프로세스에 의해서 전달될 수 있을 것이다. 패터닝된 하드 마스크 층(206)은 자기 감응형 층(204)의 마스킹된 구역들(220)에 대한 향상된 보호를 제공하는 한편, 마스킹되지 않은 구역들(218)의 자기 성질들은 전달된 에너지(216)에 의해서 변경된다.
도 2g에서, 마스킹되지 않은 구역들(218)의 자기 성질들이 성공적으로 변경된 후에, 하드 마스크 층(206)의 잔류부가 에칭 프로세스에 의해서 벗겨진다. 하드 마스크 층(206)이, 방법(100)의 박스(170)에서 설명된 반응성 이온 에칭 프로세스에 의해서 제거될 수 있을 것이다. 이제, 자기 감응형 층(204)은, 자기력에 감응하는 구역들(220)을 둘러싸는 자기력에 감응하지 않는 구역들(218)로 패터닝된다.
도 3은 본 발명의 일 실시예에 따른 시스템 레이아웃(300)의 평면도이다. 시스템 레이아웃(300)을 이용하여, 도 1 및 2에서 설명된 바와 같은 자기 매체를 위한 자기 감응형 층을 패터닝하는 프로세스를 실시할 수 있을 것이다.
시스템 레이아웃(300)은 CVD 툴(310), 에칭 툴(330), 주입 툴(350), 기판 뒤집기 툴(380), 및 트랙 조립체(370)를 포함하고, 상기 트랙 조립체는 상기 CVD 툴(310), 상기 에칭 툴(330), 상기 주입 툴(350), 및 기판 뒤집기 툴(380) 사이에서 기판들 또는 기판들의 카셋트들을 이송하도록 구성된다.
CVD 툴(310)은 하나 또는 둘 이상의 프론트 오프닝 유니버셜 포드들(FOUPs)(314)과 로드 록 챔버(316) 사이에 연결된 팩토리 인터페이스(factory interface)(312)를 포함한다. 또한, CVD 툴(310)은 복수의 CVD 챔버들(322) 및 로드 록 챔버(316)에 연결된 이송 챔버(318)를 포함한다. CVD 챔버들(322)은, 방법(100)의 박스(120)에서 설명된 바와 같은 저온 CVD 프로세스에 의해서 실리콘 산화물 층을 증착하도록 구성된다. 로드 록 챔버(316)와 CVD 챔버들(322) 사이에서 기판들 또는 기판들의 캐리어들을 이송하기 위해서, 기판 이송 로봇(320)이 이송 챔버(318) 내에 배치된다. CVD 챔버들(322)의 각각이 기판들의 2개의 세트를 동시적으로 프로세싱하도록 구성된 이중 프로세싱 부피들을 포함할 수 있을 것이다. 기판 이송 로봇(320)이 2개의 기판들/기판 캐리어들을 동시에 이송하도록 구성될 수 있을 것이다. CVD 챔버(322)의 하나의 예가 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터의 PRODUCER® PECVD 챔버와 함께 이용가능할 수 있을 것이다. CVD 툴(310)의 하나의 예가 또한 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터의 PRODUCER® GT 플랫폼과 함께 이용가능할 수 있을 것이다.
에칭 툴(330)이 하나 또는 둘 이상의 FOUPs(334)와 로드 록 챔버(336) 사이에 연결된 팩토리 인터페이스(332)를 포함한다. 에칭 툴(330)이 또한 복수의 에칭 챔버들(342) 및 로드 록 챔버(336)에 연결된 이송 챔버(338)를 포함한다. 하나 또는 둘 이상의 반응성 이온 에칭 프로세스들, 예를 들어, 방법(100)의 박스(130)에서 설명된 바와 같은 실리콘 산화물 에칭 백 프로세스, 박스(140)에서 설명된 바와 같은 포토레지스트 에칭 프로세스, 박스(150)에서 설명된 바와 같은 하드 마스크 에칭 프로세스, 및 박스(170)에서 설명된 바와 같은 잔류 하드 마스크 에칭 프로세스를 실시하도록, 에칭 챔버들(342)의 각각이 구성된다. 로드 록 챔버(336)와 에칭 챔버들(342) 사이에서 기판들 또는 기판들의 캐리어들을 이송하기 위해서, 기판 이송 로봇(340)이 이송 챔버(338) 내에 배치된다. 에칭 챔버들(342)의 각각이 기판들의 2개의 세트를 동시적으로 프로세싱하도록 구성된 이중 프로세싱 부피들을 포함할 수 있을 것이다. 기판 이송 로봇(340)이 2개의 기판들/기판 캐리어들을 동시에 이송하도록 구성될 수 있을 것이다. 에칭 챔버(342)의 하나의 예가 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터의 PRODUCER® ETCH 챔버와 함께 이용가능할 수 있을 것이다. 에칭 툴(330)의 하나의 예가 또한 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터의 PRODUCER® GT 플랫폼과 함께 이용가능할 수 있을 것이다.
주입 툴(350)이 하나 또는 둘 이상의 FOUPs(354)와 로드 록 챔버들(356) 사이에 연결된 팩토리 인터페이스(352)를 포함한다. 로드 록 챔버들(356)이, 복수의 플라즈마 이온 함침 주입 챔버들(362)에 커플링된 이송 챔버(358)에 추가적으로 연결된다. 로드 록 챔버(356)와 플라즈마 이온 함침 주입 챔버들(362) 사이에서 기판들/캐리어들을 이송하기 위해서, 기판 이송 로봇(360)이 이송 챔버(358) 내에 배치된다. 플라즈마 이온 함침 주입 챔버들(362)의 각각이 방법(100)의 박스(160)에서 설명된 바와 같은 주입 프로세스를 실시하도록 구성된다. 주입 챔버(362)의 하나의 예가 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터의 CENTURA® ACP 챔버와 함께 이용가능할 수 있을 것이다. 주입 툴(350)의 하나의 예가 또한 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터의 CENTURA® 플랫폼과 함께 이용가능할 수 있을 것이다.
기판 뒤집기 툴(380)은, 기판들의 두(both) 측부들 상에서 자기 패터닝을 형성하기 위해서 자기 매체용 기판들을 뒤집도록 구성된다. 자기 매체용 기판들(388)은 일반적으로 중심 홀을 갖는 원형 디스크이다. 프로세싱 중에, 기판들(388)은, 기판들(388)을 수용하기 위한 다수의 슬롯들을 갖는 기판 캐리어들(390) 내에서 이송된다. 기판 뒤집기 툴(380)은 하나 또는 둘 이상의 FOUPs(382) 및 캐리어 핸들링 모듈(386)을 연결하는 로더(loader) 모듈(384)을 포함한다. 기판 뒤집기 툴(380)은 또한 기판 핸들링 모듈(392)을 포함한다. 로더 모듈(384)은 캐리어 핸들링 모듈(386)과 하나 또는 둘 이상의 FOUPs(382) 사이에서 복수의 기판 캐리어들(390)을 이송한다. 기판 핸들링 모듈(392)은 캐리어(390)로부터 기판들(388)을 픽업하여(pick up), 기판들(388)을 뒤집고 대향 측부들이 위쪽을 대면하는 상태에서 기판들(388)을 캐리어(390) 상으로 배치한다. 예시적인 기판 뒤집기 툴에 대한 상세한 설명을 2011년 1월 4일자로 출원되고 2011/0163065로서 공개된 미국 특허출원 일련번호 제 12/984,528 호에서 찾아 볼 수 있을 것이고, 그러한 미국 특허출원은 인용에 의해 본원에 포함된다.
트랙 조립체(370)가 트랙(372) 및 상기 트랙(372)을 따라서 이동하는 카셋트 이송 로봇(374)을 포함한다. 카셋트 이송 로봇(374)은 시스템 레이아웃(300)의 FOUPs(314, 334, 354 및 382) 사이에서 기판 캐리어들의 카셋트들을 이송하도록 구성된다. 트랙(372)이 CVD 툴(310), 에칭 툴(330), 주입 툴(350), 및 기판 뒤집기 툴(380)의 배열에 따라서 선형 또는 다른 형상을 가질 수 있을 것이다.
프로세싱 중에, 기판 캐리어들(390) 상에 배치된 복수의 기판들(388)이 먼저 카셋트 이송 로봇(374)에 의해서 CVD 툴(310) 내로 로딩된다. 도 2a에 도시된 바와 같이, 기판들(388)이 하드 마스크 층 및 자기 감응형 층 상에 형성된 패터닝된 포토레지스트 층을 가진다. 박스(120)에서 설명된 바와 같은 저온 CVD 프로세스에 의해서, 실리콘 산화물 층이 CVD 챔버들(322) 내에서 기판들(388) 위에 형성된다.
실리콘 산화물 층의 형성 후에, 복수의 기판들(388)이 카셋트들 내의 캐리어들(390) 상에서 에칭 툴(330)로 이송된다. 에칭 툴(330)에서, 박스(130)에서 설명된 바와 같이, 실리콘 산화물 층이 에칭 백되어 패터닝된 포토레지스트 층을 노출시키고, 이어서 박스(140)에서 설명된 바와 같이, 에칭 프로세스에 의해서 포토레지스트 층이 제거되고, 그리고 박스(150)에서 설명된 바와 같이, 포토레지스트로서 실리콘 산화물 층을 이용하여 하드 마스크 층이 에칭된다. 에칭 프로세스들이 상이한 에칭 챔버들(342) 내에서 또는 동일한 에칭 챔버들(342) 내에서 실시될 수 있을 것이다.
이어서, 기판들(388)이 에칭 툴(330)로부터 주입 툴(350)로 이송된다. 박스(160)에서 설명된 바와 같이, 플라즈마 이온 함침 주입 챔버들(362) 내에서 실시되는 이온 주입에 의해서, 마스킹되지 않은 자기 감응형 층이 변경된다.
주입 후에, 기판들(388)이 하드 마스크 층의 잔류물을 제거하기 위해서 에칭 툴(330)로 다시 이송된다. 잔류 하드 마스크 층이 에칭 챔버들(322) 중 하나에 의해서 박스(170)에서 설명된 바와 같은 프로세스에 의해 제거될 수 있을 것이다. 이는 기판들(388)의 한 측부 상에서 자기 감응형 층의 패터닝을 완결한다.
이어서, 기판들(388)이 기판 뒤집기 툴(380)로 이송된다. 기판들(388)은, 프로세스되지 않은 측부들이 위쪽으로 대면하도록 뒤집히고 그리고 CVD 툴(310)로 복귀되어 패터닝 프로세스를 반복한다.
도 4는 본 발명의 다른 실시예에 따른 시스템 레이아웃(400)의 평면도이다. 시스템 레이아웃(400)은 도 1 및 2에서 설명된 바와 같은 자기 매체용 자기 감응형 층을 패터닝하는 프로세스를 실시하기 위해서 이용될 수 있을 것이다. 시스템 레이아웃(300)과 비교하면, 시스템 레이아웃(400)은 보다 적은 툴들 및 챔버들을 가지고 청정실 내의 보다 적은 공간을 점유하는 저비용 조립체이다. 시스템 레이아웃(400)은, 시스템 레이아웃(300)에서와 같이, 기판 뒤집기 툴(380), 및 트랙 조립체(370)를 포함한다. 시스템 레이아웃(400)은 또한 CVD/에칭 툴(430) 및 주입 툴(450)을 포함한다.
CVD/에칭 툴(430)은, CVD/에칭 툴(430)이 CVD 챔버(432) 및 에칭 챔버(434)를 포함한다는 것을 제외하고, CVD 툴(310)과 유사하다. 주입 툴(450)은, 주입 툴(450)이 단지 하나의 플라즈마 이온 함침 주입 챔버(462)를 포함한다는 것을 제외하고, 주입 툴(350)과 유사하다.
프로세싱 중에, 기판 캐리어들(390) 상에 배치된 복수의 기판들(388)이 카셋트 이송 로봇(374)에 의해서 CVD/에칭 툴(430) 내로 먼저 로딩된다. 박스(120)에서 설명된 바와 같은 저온 CVD 프로세스에 의해서, 실리콘 산화물 층이 CVD 챔버(432) 내에서 기판들(388) 위에 형성된다. 박스(130)에서 설명된 바와 같이, 실리콘 산화물 층이 에칭 백되어 패터닝된 포토레지스트 층을 노출시키고, 이어서 박스(140)에서 설명된 바와 같이, 에칭 프로세스에 의해서 포토레지스트 층이 제거되고, 그리고 박스(150)에서 설명된 바와 같이, 포토레지스트로서 실리콘 산화물 층을 이용하여 하드 마스크 층이 에칭된다. 에칭 프로세스들이 에칭 챔버들(434) 내에서 실시된다. 이어서, 기판들(388)이 CVD/에칭 툴(430)로부터 주입 툴(450)로 이송된다. 박스(160)에서 설명된 바와 같이, 플라즈마 이온 함침 주입 챔버(462) 내에서 실시되는 이온 주입에 의해서, 마스킹되지 않은 자기 감응형 층이 변경된다. 주입 후에, 에칭 챔버(434) 내에서 하드 마스크 층의 잔류물을 제거하기 위해서, 기판들(388)이 CVD/에칭 툴(430)로 다시 이송된다. 이어서, 대향 측부가 위쪽을 대면하도록 하기 위해서 기판들(388)이 기판 뒤집기 툴(380)로 이송되고 그리고 CVD/에칭 툴(430)로 복귀되어 패터닝 프로세스를 반복한다.
전술한 내용이 본 발명의 실시예들에 관한 것이지만, 발명의 다른 그리고 추가적인 실시예들이 발명의 기본적인 범위로부터 벗어나지 않고도 안출될 수 있을 것이고, 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (20)

  1. 패터닝된 자기 층을 형성하기 위한 방법으로서,
    기판 상의 자기 감응형 층(magnetically susceptible layer) 위에 증착된 하드 마스크 층에 패턴을 형성하는 단계; 및
    상기 자기 감응형 층의 자기 성질을 변경하도록 상기 기판을 향하여 에너지를 지향시켜서, 패터닝된 자기 층을 형성하는 단계
    를 포함하고,
    상기 하드 마스크 층에 패턴을 형성하는 단계는,
    상기 하드 마스크 층 위에 상기 패턴을 실리콘 산화물 층으로 형성하는 단계; 및
    상기 실리콘 산화물 층의 패턴을 이용하여 상기 하드 마스크 층을 에칭하는 단계를 포함하고,
    상기 패턴을 실리콘 산화물 층으로 형성하는 단계는,
    상기 하드 마스크 층 위의 포토레지스트 층에 반대(reverse) 패턴을 형성하는 단계;
    상기 실리콘 산화물 층을 형성함으로써 상기 포토레지스트 층의 반대 패턴을 실리콘 산화물로 충진하는 단계;
    상기 포토레지스트 층을 노출시키기 위해 상기 실리콘 산화물 층을 에치백(etching back)하는 단계; 및
    상기 실리콘 산화물 층으로 상기 패턴을 형성하기 위해 상기 포토레지스트 층을 제거하는 단계를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 산화물 층을 형성하는 것은, 화학 기상 증착 프로세스를 수행함으로써 상기 실리콘 산화물 층을 증착하는 것을 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 화학 기상 증착 프로세스는 150 ℃ 미만의 온도에서 수행되는,
    패터닝된 자기 층을 형성하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 온도는 20 ℃ 내지 100 ℃인,
    패터닝된 자기 층을 형성하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 온도는 30 ℃ 내지 80 ℃인,
    패터닝된 자기 층을 형성하기 위한 방법.
  6. 제 2 항에 있어서,
    상기 화학 기상 증착 프로세스를 수행하는 것은,
    등각적인(conformal) 실리콘 함유 절반-층을 증착하기 위해 실리콘 함유 전구체를 유동시키는 단계; 및
    상기 등각적인 실리콘 함유 절반-층과 반응하도록 반응성 산소 함유 가스를 유동시키는 단계를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 실리콘 함유 전구체는 비스(디에틸아미노)실란(BDEAS)을 포함하고, 상기 산소 함유 가스는 오존 및 산소 혼합물을 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 실리콘 산화물 층을 에치백하는 단계는 불소 함유 플라즈마를 이용하여 반응성 이온 에칭을 수행하는 단계를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 포토레지스트 층을 제거하는 단계는 산화 가스(oxidizing gas)의 플라즈마를 이용하여 반응성 이온 에칭을 수행하는 단계를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  10. 제 1 항에 있어서,
    산화 가스의 플라즈마를 이용하여 반응성 이온 에칭 프로세스를 수행함으로써 상기 하드 마스크 층을 제거하는 단계를 더 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  11. 패터닝된 자기 층을 형성하기 위한 방법으로서,
    자기 감응형 층 위에 있는 하드 마스크 층 위에 패터닝된 포토레지스트 층을 가지는 복수의 기판들을 제공하는 단계 ― 상기 하드 마스크 층은 자기 감응형 층 위에 있음 ―;
    상기 패터닝된 포토레지스트 층 내의 비아들을 충진하기 위해, 화학 기상 증착에 의해 상기 패터닝된 포토레지스트 층 위에 실리콘 산화물 층을 증착하는 단계;
    상기 포토레지스트 층을 노출시키기 위해 상기 실리콘 산화물 층을 에치백하는 단계;
    상기 포토레지스트 층을 제거하여, 상기 실리콘 산화물 층의 패턴을 형성하는 단계;
    상기 실리콘 산화물 층의 패턴을 이용하여 상기 하드 마스크 층을 에칭하는 단계; 및
    상기 자기 감응형 층의 자기 성질을 변경하도록 상기 기판을 향하여 에너지를 지향시켜서, 상기 패터닝된 자기 층을 형성하는 단계
    를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 실리콘 산화물 층을 증착하는 단계는,
    등각적인 실리콘 함유 절반-층을 증착하기 위해 실리콘 함유 전구체를 유동시키는 단계; 및
    상기 등각적인 실리콘 함유 절반-층과 반응하도록 반응성 산소 함유 가스를 유동시키는 단계를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  13. 제 12 항에 있어서,
    상기 실리콘 함유 전구체는 비스(디에틸아미노)실란(BDEAS)을 포함하고, 상기 산소 함유 가스는 오존 및 산소 혼합물을 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  14. 제 12 항에 있어서,
    상기 실리콘 산화물 층을 증착하는 단계는 150 ℃ 미만의 온도에서 수행되는,
    패터닝된 자기 층을 형성하기 위한 방법.
  15. 제 11 항에 있어서,
    상기 실리콘 산화물 층을 에치백하는 단계는 불소 함유 플라즈마를 이용하여 반응성 이온 에칭을 수행하는 단계를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  16. 패터닝된 자기 층을 형성하기 위한 방법으로서,
    기판의 자기 감응형 층 위에 하드 마스크 층을 형성하는 단계;
    상기 하드 마스크 층 위에 포토레지스트 층을 형성하는 단계;
    상기 포토레지스트 층에 반대 패턴을 형성하는 단계;
    실리콘 산화물 층을 이용하여 상기 포토레지스트 층의 반대 패턴을 충진하는 단계;
    상기 포토레지스트 층을 제거하여, 상기 실리콘 산화물 층에 패턴을 형성하는 단계;
    상기 실리콘 산화물 층의 패턴을 이용하여 상기 하드 마스크 층을 에칭하는 단계; 및
    상기 자기 감응형 층의 자기 성질을 변경하도록 상기 기판을 향하여 에너지를 지향시켜서, 패터닝된 자기 층을 형성하는 단계
    를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 포토레지스트 층의 반대 패턴을 상기 실리콘 산화물 층으로 과다 충진(overfilling)하는 단계; 및
    상기 포토레지스트 층을 노출시키기 위해 상기 실리콘 산화물 층을 에치백하는 단계
    를 더 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  18. 제 16 항에 있어서,
    상기 반대 패턴을 충진하는 단계는 화학 기상 증착 프로세스를 수행함으로써 상기 실리콘 산화물 층을 증착하는 단계를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  19. 제 18 항에 있어서,
    상기 화학 기상 증착 프로세스를 수행하는 것은,
    등각적인 실리콘 함유 절반-층을 증착하기 위해 실리콘 함유 전구체를 유동시키는 단계; 및
    상기 등각적인 실리콘 함유 절반-층과 반응하도록 반응성 산소 함유 가스를 유동시키는 단계를 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
  20. 제 19 항에 있어서,
    상기 실리콘 함유 전구체는 비스(디에틸아미노)실란(BDEAS)을 포함하고, 상기 산소 함유 가스는 오존 및 산소 혼합물을 포함하는,
    패터닝된 자기 층을 형성하기 위한 방법.
KR1020147016529A 2011-11-23 2012-10-16 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들 KR101590082B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161563137P 2011-11-23 2011-11-23
US61/563,137 2011-11-23
PCT/US2012/060430 WO2013077952A1 (en) 2011-11-23 2012-10-16 Apparatus and methods for silicon oxide cvd photoresist planarization

Publications (2)

Publication Number Publication Date
KR20140098795A KR20140098795A (ko) 2014-08-08
KR101590082B1 true KR101590082B1 (ko) 2016-01-29

Family

ID=48427326

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147016529A KR101590082B1 (ko) 2011-11-23 2012-10-16 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들

Country Status (4)

Country Link
US (1) US8852962B2 (ko)
KR (1) KR101590082B1 (ko)
CN (2) CN103959380B (ko)
WO (1) WO2013077952A1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103180030B (zh) 2010-08-23 2017-04-12 艾克索乔纳斯公司 基于气体团簇离子束技术的中性射束处理方法和设备
US10202684B2 (en) 2010-08-23 2019-02-12 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
CN103426978B (zh) * 2012-05-17 2016-09-07 泰州畅瑞照明有限公司 Led芯片的制造方法
US9679984B2 (en) * 2012-11-07 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure with multi-layer composition
US8986557B2 (en) * 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR102195139B1 (ko) * 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
CN104332407B (zh) * 2014-08-27 2020-03-31 上海华力微电子有限公司 用于镍硅合金化工艺的阻挡层的制备方法
KR102542804B1 (ko) * 2015-03-11 2023-06-14 엑소제네시스 코포레이션 가스 클러스터 이온빔 기술에 기반한 중성빔 처리 방법 및 이에 의해 제조되는 물품
CN107403865B (zh) * 2016-05-18 2020-07-14 上海磁宇信息科技有限公司 一种双重图形技术图案化磁性隧道结的方法
CN107452874B (zh) * 2016-05-30 2020-07-14 上海磁宇信息科技有限公司 一种磁性隧道结自对准钽掩模的形成方法
TWI692011B (zh) * 2016-07-20 2020-04-21 美商艾克索傑尼席斯公司 用於基於氣體簇離子束技術的中性束處理之方法及藉其製造之物件
ES2773989T3 (es) * 2017-05-19 2020-07-16 Total Sa Aparato y método para el procesamiento de texturizado
CN111071986B (zh) * 2019-12-30 2023-05-16 北京航空航天大学 一种激光改性辅助制备碳化硅多级微结构的方法及一种加速度传感器
CN113517182A (zh) * 2021-06-29 2021-10-19 上海华力集成电路制造有限公司 硬掩膜层的图形结构的形成方法
CN117377375B (zh) * 2023-12-07 2024-03-22 致真存储(北京)科技有限公司 磁存储单元的制作方法及存储器

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3967002A (en) 1974-12-31 1976-06-29 International Business Machines Corporation Method for making high density magnetic bubble domain system
US4314894A (en) 1977-07-16 1982-02-09 U.S. Philips Corporation Method of making a magnetic memory layer
US4556597A (en) 1983-08-22 1985-12-03 International Business Machines Corporation Ion doped servo pattern for magnetic recording disk
US4669004A (en) 1986-02-27 1987-05-26 Quantum Corporation High capacity disk file with embedded sector servo

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4684547A (en) 1985-12-27 1987-08-04 International Business Machines Corporation Format patterning method for magnetic recording media
US4935278A (en) 1988-04-28 1990-06-19 International Business Machines Corporation Thin film magnetic recording disk and fabrication process
US5220476A (en) 1990-11-02 1993-06-15 Godwin Jimmy D Non-erasable magnetic data storage method
US5232566A (en) 1991-05-14 1993-08-03 International Business Machines Corporation Underlayer doping in thin film magnetic recording media
US6014296A (en) 1995-07-24 2000-01-11 Kabushiki Kaisha Toshiba Magnetic disk, method of manufacturing magnetic disk and magnetic recording apparatus
US5723033A (en) 1995-09-06 1998-03-03 Akashic Memories Corporation Discrete track media produced by underlayer laser ablation
US6055139A (en) 1995-12-14 2000-04-25 Fujitsu Limited Magnetic recording medium and method of forming the same and magnetic disk drive
US5858474A (en) 1996-02-20 1999-01-12 Seagate Technology, Inc. Method of forming a magnetic media
US5991104A (en) 1996-11-27 1999-11-23 Seagate Technology, Inc. Using servowriter medium for quickly written servo-patterns on magnetic media
US6368425B1 (en) 1998-01-27 2002-04-09 Seagate Technology Llc Ion treatments for magnetic recording heads and magnetic recording media
US6086961A (en) 1998-03-09 2000-07-11 Seagate Technology, Inc. Quickly written servo-patterns for magnetic media including removing
US6368678B1 (en) 1998-05-13 2002-04-09 Terry Bluck Plasma processing system and method
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
JP2001068538A (ja) 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6383574B1 (en) 1999-07-23 2002-05-07 Headway Technologies, Inc. Ion implantation method for fabricating magnetoresistive (MR) sensor element
WO2001043122A2 (en) 1999-12-10 2001-06-14 Seagate Technology Llc Magnetic disc having physical servo patterns with a magnetic carrier, and method of making and using the same
TW521295B (en) 1999-12-13 2003-02-21 Semequip Inc Ion implantation ion source, system and method
JP4268303B2 (ja) 2000-02-01 2009-05-27 キヤノンアネルバ株式会社 インライン型基板処理装置
DE10111139A1 (de) 2000-04-07 2001-10-11 Merck Patent Gmbh Elektrooptische Flüssigkristallanzeige
US6898031B1 (en) 2000-04-19 2005-05-24 Seagate Technology Llc Method for replicating magnetic patterns on hard disk media
US6864042B1 (en) 2000-07-25 2005-03-08 Seagate Technology Llc Patterning longitudinal magnetic recording media with ion implantation
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7064491B2 (en) 2000-11-30 2006-06-20 Semequip, Inc. Ion implantation system and control method
US6753043B1 (en) 2000-12-07 2004-06-22 Seagate Technology Llc Patterning of high coercivity magnetic media by ion implantation
US6656614B1 (en) 2001-06-04 2003-12-02 Seagate Technology Llc Method for manufacturing magnetic media with textured CSS landing zone formed by ion implantation, and media obtained thereby
US7050248B1 (en) 2002-06-28 2006-05-23 Seagate Technology Llc Method and apparatus for patterning magnetic media by contact printing
AU2003303150A1 (en) 2002-11-21 2004-07-14 Berkshire Laboratories, Inc. Enhanced data storage and retrieval devices and systems and methods for utilizing same
US6987272B2 (en) 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7378028B2 (en) * 2004-06-03 2008-05-27 Seagate Technology Llc Method for fabricating patterned magnetic recording media
US7038225B2 (en) 2004-06-23 2006-05-02 Seagate Technology Llc Method and apparatus for electron beam processing of substrates
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
WO2007067488A2 (en) 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7651571B2 (en) 2005-12-22 2010-01-26 Kyocera Corporation Susceptor
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7704614B2 (en) * 2006-10-20 2010-04-27 Seagate Technology Llc Process for fabricating patterned magnetic recording media
JP2008135092A (ja) 2006-11-27 2008-06-12 Showa Denko Kk 磁気記録媒体の製造方法、及び磁気記録再生装置
US7675048B2 (en) 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
KR20150018592A (ko) * 2007-05-03 2015-02-23 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
JP4881908B2 (ja) 2007-06-19 2012-02-22 昭和電工株式会社 磁気記録媒体の製造方法及び磁気記録再生装置
KR20100114037A (ko) 2007-12-20 2010-10-22 어플라이드 머티어리얼스, 인코포레이티드 향상된 가스 유동 분포를 가진 열 반응기
US20090201722A1 (en) * 2008-02-12 2009-08-13 Kamesh Giridhar Method including magnetic domain patterning using plasma ion implantation for mram fabrication
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP4968591B2 (ja) * 2008-03-21 2012-07-04 富士電機株式会社 磁気記録媒体およびその製造方法
JP2009277275A (ja) * 2008-05-13 2009-11-26 Showa Denko Kk 磁気記録媒体の製造方法および製造装置
JP4489132B2 (ja) * 2008-08-22 2010-06-23 株式会社東芝 磁気記録媒体の製造方法
US8357617B2 (en) * 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US9685186B2 (en) 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
US8304175B2 (en) * 2009-03-25 2012-11-06 Macronix International Co., Ltd. Patterning method
US8673162B2 (en) * 2009-12-10 2014-03-18 Applied Materials, Inc. Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
US8911554B2 (en) * 2010-01-05 2014-12-16 Applied Materials, Inc. System for batch processing of magnetic media

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3967002A (en) 1974-12-31 1976-06-29 International Business Machines Corporation Method for making high density magnetic bubble domain system
US4314894A (en) 1977-07-16 1982-02-09 U.S. Philips Corporation Method of making a magnetic memory layer
US4556597A (en) 1983-08-22 1985-12-03 International Business Machines Corporation Ion doped servo pattern for magnetic recording disk
US4669004A (en) 1986-02-27 1987-05-26 Quantum Corporation High capacity disk file with embedded sector servo

Also Published As

Publication number Publication date
WO2013077952A1 (en) 2013-05-30
US8852962B2 (en) 2014-10-07
CN103959380A (zh) 2014-07-30
CN107611258A (zh) 2018-01-19
CN103959380B (zh) 2017-08-29
US20130130405A1 (en) 2013-05-23
KR20140098795A (ko) 2014-08-08

Similar Documents

Publication Publication Date Title
KR101590082B1 (ko) 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들
US8986557B2 (en) HDD patterning using flowable CVD film
TWI612700B (zh) 用於磁性媒材圖案化之阻劑強化
CN102334161B (zh) Hdd图案布植系统
US8586952B2 (en) Temperature control of a substrate during a plasma ion implantation process for patterned disc media applications
US8138088B2 (en) Manufacturing method of structure by imprint
US8673162B2 (en) Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
US20110104393A1 (en) Plasma ion implantation process for patterned disc media applications
US20140138347A1 (en) Method for manufacturing magnetoresistance effect element
US9660185B2 (en) Pattern fortification for HDD bit patterned media pattern transfer
US10233538B2 (en) Demagnetization of magnetic media by C doping for HDD patterned media application
KR101721548B1 (ko) 반도체 소자의 제조 방법
KR20180121096A (ko) 질소를 함유하는 실리콘 박막의 플라즈마 원자층 증착 방법 및 반도체 소자의 더블 패터닝 방법
KR20100075084A (ko) 반도체 소자의 소자 분리막 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee