CN103959380B - 用于氧化硅化学气相沉积光刻胶平坦化的方法 - Google Patents
用于氧化硅化学气相沉积光刻胶平坦化的方法 Download PDFInfo
- Publication number
- CN103959380B CN103959380B CN201280057804.4A CN201280057804A CN103959380B CN 103959380 B CN103959380 B CN 103959380B CN 201280057804 A CN201280057804 A CN 201280057804A CN 103959380 B CN103959380 B CN 103959380B
- Authority
- CN
- China
- Prior art keywords
- layer
- silicon oxide
- hard mask
- pattern
- magnetic
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims abstract description 88
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 title claims abstract description 82
- 229920002120 photoresistant polymer Polymers 0.000 title claims description 65
- 239000000377 silicon dioxide Substances 0.000 title claims description 12
- 230000008021 deposition Effects 0.000 title description 3
- 230000005291 magnetic effect Effects 0.000 claims abstract description 102
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 58
- 238000005229 chemical vapour deposition Methods 0.000 claims abstract description 42
- 230000015572 biosynthetic process Effects 0.000 claims abstract description 8
- 239000000758 substrate Substances 0.000 claims description 89
- 230000008569 process Effects 0.000 claims description 31
- 150000002500 ions Chemical class 0.000 claims description 24
- 239000007789 gas Substances 0.000 claims description 20
- 239000001301 oxygen Substances 0.000 claims description 16
- 229910052760 oxygen Inorganic materials 0.000 claims description 16
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 14
- 229910052710 silicon Inorganic materials 0.000 claims description 14
- 239000010703 silicon Substances 0.000 claims description 14
- 238000005530 etching Methods 0.000 claims description 13
- 239000002243 precursor Substances 0.000 claims description 9
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 8
- 230000001590 oxidative effect Effects 0.000 claims description 8
- 239000000203 mixture Substances 0.000 claims description 7
- 230000008859 change Effects 0.000 claims description 6
- 125000001153 fluoro group Chemical group F* 0.000 claims description 5
- 238000001020 plasma etching Methods 0.000 claims description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 4
- 229910000077 silane Inorganic materials 0.000 claims description 4
- NNJVILVZKWQKPM-UHFFFAOYSA-N Lidocaine Chemical compound CCN(CC)CC(=O)NC1=C(C)C=CC=C1C NNJVILVZKWQKPM-UHFFFAOYSA-N 0.000 claims description 3
- 238000000151 deposition Methods 0.000 claims description 3
- 229960004194 lidocaine Drugs 0.000 claims description 3
- 238000005468 ion implantation Methods 0.000 abstract 1
- 238000000059 patterning Methods 0.000 description 26
- 239000000463 material Substances 0.000 description 23
- 230000005540 biological transmission Effects 0.000 description 15
- 238000012545 processing Methods 0.000 description 13
- 238000002513 implantation Methods 0.000 description 12
- 230000005381 magnetic domain Effects 0.000 description 7
- 238000007654 immersion Methods 0.000 description 6
- 238000002347 injection Methods 0.000 description 6
- 239000007924 injection Substances 0.000 description 6
- 238000001259 photo etching Methods 0.000 description 6
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- 125000004429 atom Chemical group 0.000 description 5
- 229910052799 carbon Inorganic materials 0.000 description 5
- 238000011049 filling Methods 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- -1 Oxygen radical Chemical class 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 230000007935 neutral effect Effects 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- KOOADCGQJDGAGA-UHFFFAOYSA-N [amino(dimethyl)silyl]methane Chemical compound C[Si](C)(C)N KOOADCGQJDGAGA-UHFFFAOYSA-N 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000001311 chemical methods and process Methods 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- GAURFLBIDLSLQU-UHFFFAOYSA-N diethoxy(methyl)silicon Chemical compound CCO[Si](C)OCC GAURFLBIDLSLQU-UHFFFAOYSA-N 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000009434 installation Methods 0.000 description 2
- AHJCYBLQMDWLOC-UHFFFAOYSA-N n-methyl-n-silylmethanamine Chemical compound CN(C)[SiH3] AHJCYBLQMDWLOC-UHFFFAOYSA-N 0.000 description 2
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 description 2
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 2
- 239000005052 trichlorosilane Substances 0.000 description 2
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 2
- 229940094989 trimethylsilane Drugs 0.000 description 2
- VUGMARFZKDASCX-UHFFFAOYSA-N 2-methyl-N-silylpropan-2-amine Chemical compound CC(C)(C)N[SiH3] VUGMARFZKDASCX-UHFFFAOYSA-N 0.000 description 1
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910004014 SiF4 Inorganic materials 0.000 description 1
- 229910052771 Terbium Inorganic materials 0.000 description 1
- OWIDZKFYDXDEBY-UHFFFAOYSA-N [ethyl(methyl)amino]silicon Chemical compound CCN(C)[Si] OWIDZKFYDXDEBY-UHFFFAOYSA-N 0.000 description 1
- 239000004411 aluminium Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 150000001793 charged compounds Chemical class 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GSOLWAFGMNOBSY-UHFFFAOYSA-N cobalt Chemical compound [Co][Co][Co][Co][Co][Co][Co][Co] GSOLWAFGMNOBSY-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- DDJSWKLBKSLAAZ-UHFFFAOYSA-N cyclotetrasiloxane Chemical compound O1[SiH2]O[SiH2]O[SiH2]O[SiH2]1 DDJSWKLBKSLAAZ-UHFFFAOYSA-N 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 229910001882 dioxygen Inorganic materials 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005518 electrochemistry Effects 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 239000003822 epoxy resin Substances 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 239000003302 ferromagnetic material Substances 0.000 description 1
- UIWYJDYFSGRHKR-UHFFFAOYSA-N gadolinium atom Chemical compound [Gd] UIWYJDYFSGRHKR-UHFFFAOYSA-N 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 1
- 238000006386 neutralization reaction Methods 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 238000010422 painting Methods 0.000 description 1
- 230000005408 paramagnetism Effects 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- AIFMYMZGQVTROK-UHFFFAOYSA-N silicon tetrabromide Chemical compound Br[Si](Br)(Br)Br AIFMYMZGQVTROK-UHFFFAOYSA-N 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- 238000007711 solidification Methods 0.000 description 1
- 230000008023 solidification Effects 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- GZCRRIHWUXGPOV-UHFFFAOYSA-N terbium atom Chemical compound [Tb] GZCRRIHWUXGPOV-UHFFFAOYSA-N 0.000 description 1
- 229920001169 thermoplastic Polymers 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01F—MAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
- H01F41/00—Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties
- H01F41/32—Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film, specially adapted for a thin magnetic film
- H01F41/34—Apparatus or processes specially adapted for manufacturing or assembling magnets, inductances or transformers; Apparatus or processes specially adapted for manufacturing materials characterised by their magnetic properties for applying conductive, insulating or magnetic material on a magnetic film, specially adapted for a thin magnetic film in patterns, e.g. by lithography
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11B—INFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
- G11B5/00—Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
- G11B5/74—Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
- G11B5/743—Patterned record carriers, wherein the magnetic recording layer is patterned into magnetic isolated data islands, e.g. discrete tracks
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11B—INFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
- G11B5/00—Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
- G11B5/84—Processes or apparatus specially adapted for manufacturing record carriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/67736—Loading to or unloading from a conveyor
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本发明实施例提供用于形成供磁性媒体中使用的图案化磁性层的方法和设备。根据本案实施例,通过低温化学气相沉积形成的氧化硅层用以在硬掩模层中形成图案,且图案化硬掩模用以通过等离子体离子注入形成图案化磁性层。
Description
技术领域
本发明实施例通常涉及用于制造磁性媒体的设备和方法。更具体地说,本发明实施例涉及用于图案化磁性媒体上的磁性敏感层的设备和方法。
背景技术
磁性媒体被用于各种电子装置中,所述电子装置诸如硬盘驱动器(hard diskdrive;HDD)和磁阻随机存取存储器(magnetoresistive random access memory;MRAM)装置。磁性媒体装置使用磁畴存储和检索信息。硬盘驱动器通常包括磁盘形式的磁性媒体,所述磁盘具有分别地通过磁头可寻址的磁畴。磁头移动到接近于磁畴且改变磁畴的磁性以记录信息。为了恢复所记录的信息,磁头移动到接近于磁畴且检测磁畴的磁性。磁畴的磁性通常被解释为对应于两个可能状态中的一个状态,所述两个状态为“0”状态和“1”状态。以这种方法,数字信息可被记录在磁性媒体上且之后恢复。
磁性媒体通常包含非磁性玻璃、复合玻璃/陶瓷,或金属基板,所述金属基板具有形成在金属基板上的磁性敏感层。磁性敏感层通常被图案化以使得磁性媒体的表面具有磁化率区域,所述磁化率区域与磁性不活动区域散布。
传统地,磁性敏感层是通过压印光刻胶层以在磁性敏感层之上形成图案且将压印的光刻胶层充当掩模注入磁性敏感层来图案化。然而,对于此传统方法存在若干问题。因为压印光刻胶层的目标在于留下小的光刻胶柱以形成图案,在卸下压印模之后,小的光刻胶柱有时被错误地随着压印模而除去,从而产生缺陷。适用于图案压印的光刻胶通常是弱的聚合物,所述聚合物可能被通过后续处理条件而损坏。另外,因为压印工艺限制光刻胶的厚度,所以可能无法使用用于承受后续处理条件的较大厚度的光刻胶。
因此,存在改善磁性媒体制造的图案化工艺的需要。
发明内容
本发明实施例通常涉及用于形成图案化磁性层的设备和方法。
本发明的一个实施例提供用于形成图案化磁性层的方法。所述方法包含在沉积在基板上的磁性敏感层之上的硬掩模层中形成图案,且将能量朝向基板导向以改变磁性敏感层的磁性以形成图案化磁性层。
本发明的一个实施例提供用于形成图案化磁性层的方法。所述方法包含在具有磁性敏感层和硬掩模层的基板上形成图案化光刻胶层,所述硬掩模层在所述磁性敏感层之上;通过化学气相沉积在图案化光刻胶层之上沉积氧化硅层以填充图案化光刻胶层之内的通孔;且回蚀刻氧化硅层以暴露光刻胶层。所述方法进一步包含去除光刻胶层以形成氧化硅层的图案,使用氧化硅层的图案蚀刻硬掩模层,且将能量朝向基板导向以改变磁性敏感层的磁性以形成图案化磁性层。
本发明的另一实施例提供用于形成图案化磁性层的系统。系统包含:第一工具,所述第一工具包含化学气相沉积腔室和蚀刻腔室的至少一个腔室;第二工具,所述第二工具包含一或多个等离子体离子浸入注入腔室。系统进一步包含:基板翻转工具,被配置以翻转基板;和履带组件,具有用于在第一工具、第二工具和基板翻转工具之间传递基板的机械手。
附图说明
因此,以可详细地理解本发明的上述特征的方式,可参考实施例获得上文简要概述的本发明的更特定描述,所述实施例中的一些实施例图示在附图中。然而,应注意,附图仅图示本发明的典型实施例且因此不将附图视为限制本发明的范围,因为本发明可允许其他同等有效的实施例。
图1是根据本发明的一个实施例的用于形成图案化磁性层的一个方法的流程图。
图2A至图2G是在图1的方法的各个阶段处的基板的示意侧视图。
图3是根据本发明的一个实施例的系统布置的平面图。
图4是根据本发明的另一实施例的系统布置的平面图。
为了便于理解,在可能的情况下,已使用相同元件符号来指定对诸图共用的相同元件。可以预期,在一个实施例中公开的元件可在无需特定叙述的情况下有利地用于其他实施例。
具体实施方式
本发明实施例提供用于为磁性媒体形成图案化磁性层的方法和设备。根据本案实施例,氧化硅层用以形成图案化硬掩模层,且图案化硬掩模层用以通过等离子体注入来图案化磁性敏感层。具体而言,预期图案的负像是使用光刻胶层首先形成在硬掩模层之上,所述硬掩模层被沉积在磁性敏感层上。负像可通过诸如压印的传统方法形成。随后,氧化硅层被沉积在图案化光刻胶层之上,填充光刻胶层中的通孔。氧化硅层是通过低温工艺形成,低温工艺诸如化学气相沉积(CVD)工艺,以避免损坏光刻胶层和磁性敏感层。然后,氧化硅层被回蚀刻以暴露光刻胶层。光刻胶层然后被去除,在硬掩模层之上留下氧化硅图案。使用作用为掩模的图案化氧化硅蚀刻硬掩模层。然后,磁性敏感层根据硬掩模层和氧化硅层的图案被图案化。
图1是根据本发明的一个实施例的概述用于形成图案化磁性层的方法100的流程图。根据方法100,氧化硅是通过由光刻胶层形成的负像而图案化,且氧化硅被用以图案化硬掩模以便形成图案化磁性层。
在方块110中,图案化光刻胶层被形成在具有磁性敏感层和硬掩模层的基板上,所述硬掩模层形成在所述磁性敏感层之上。基板通常包括具有结构性很强的材料的基底层,所述材料诸如金属、玻璃、陶瓷,或上述材料的组合。对于磁性媒体,通常使用磁性不渗透的或仅具有非常弱的顺磁性且对磁性敏感层具有良好粘着性的基板,所述基板例如铝、玻璃或碳复合材料的基板。
磁性敏感层可由一或多个铁磁材料形成。磁性敏感材料可以多层形成,每层具有相同或不同成分。磁性敏感层可包含一或多个元素,所述一或多个元素选自由以下各项组成的组:钴、铂、镍、钼、铬、钽、铁、铽和钆。磁性敏感层可由任何适当的方法形成,所述方法诸如物理气相沉积,或溅射、化学气相沉积、等离子体增强化学气相沉积、旋涂、通过电化学的电镀或无电镀手段,和类似方法。
形成在磁性敏感层之上的硬掩模层可以是在低温下形成的碳膜,所述温度诸如低于150℃的温度,以便磁性敏感层在硬掩模层的形成期间不受损。
光刻胶层可包含诸如环氧树脂或热塑性聚合物的可固化材料,所述材料将在被固化之前流动,且将在固化之后在图案化工艺期间对下层提供保护。光刻胶层可通过旋涂方法形成。光刻胶层是使用模板通过物理压印而图案化。或者,光刻胶层可使用适用于形成小特征的任何工艺图案化,所述工艺诸如电子束、离子束或分子束写入。
在光刻胶材料中形成的图案通常产生由光刻胶材料的薄层,或无光刻胶材料覆盖的硬掩模层部分,和由光刻胶材料的厚层覆盖的其他部分。厚光刻胶层可具有在约50nm和约150nm之间,诸如在约60nm和约100nm之间,例如约80nm的厚度。光刻胶层中的图案是预期图案的负像,以便用于磁性敏感层上。负像比预期图案较不易受到损坏,因为代替使光刻胶柱剩余,图案化光刻胶层具有光刻胶去除的柱。
在方块120中,氧化硅层被沉积且填充在图案化光刻胶层中。氧化硅层是通过低温沉积方法形成,以避免对磁性敏感层和图案化光刻胶层的热损害。低温化学气相沉积(CVD)可用以形成氧化硅层。氧化硅层通常在小于约150℃的温度下形成,诸如在约20℃和约100℃的温度之间,或在约30℃和约80℃的温度之间,例如在约70℃的温度下形成。氧化硅层可使用CVD或原子层沉积(atomic layer deposition;ALD)腔室,或等离子体离子浸入注入(P3i)腔室形成,所述腔室可购自Applied Materials,Inc(应用材料公司)。
在CVD工艺期间,含硅前驱物被流入处理腔室以在基板上共形地沉积含硅半层,覆盖在掩模区域和无掩模区域中的图案化光刻胶层,包括图案化光刻胶层的垂直表面和水平表面。然后,反应性含氧气体被引入处理腔室且与含硅半层反应以产生共形氧化硅层。含硅前驱物和反应性含氧气体可被循环地流入处理腔室以在多个薄层中形成氧化硅层,从而避免形成空隙。
用于沉积氧化硅层的适当前驱物包括可在如上所述的低温下和在用于CVD工艺的低压下保持为气相的那些前驱物。对使用远程等离子体被活化而敏感的前驱物也是适用的。
二(二乙氨基)硅烷(Bis(diethylamino)silane;BDEAS)可被用作用于形成氧化硅层的含硅前驱物。BDEAS可以在约5sccm和约1000sccm之间的流量被引入腔室中。例如氦的可选载气可以在在约100sccm和约20000sccm之间的流量被引入腔室中。到腔室中的BDEAS的流量与氦的流量的比率是约1:1或更大,诸如在约1:1和约1:100之间。当BDEAS被流入腔室中以沉积氧化硅层时,腔室压力可大于约5mTorr,诸如在约1.8托和约100托之间,且在处理腔室中的基板支撑件的温度可在约10℃和约100℃之间。更具体而言,温度在约30℃和约80℃之间。BDEAS可被流入腔室中达足以沉积一层的时间段,所述层具有在约和约之间的厚度。例如,BDEAS可被流入腔室中达约0.1秒和约60秒之间的时间。
含氧前驱物可以是臭氧/氧气混合物。例如,可按在约100sccm和约20000sccm之间的流量,将在氧气中的臭氧体积百分比为约0.5%至约10%的臭氧/氧气混合物引入处理腔室。臭氧/氧气混合物可通过与加热腔室表面接触而活化,所述加热腔室表面诸如腔室壁、气体分布器或喷头,所述腔室壁、气体分布器或喷头被控制在约70℃和约300℃之间的温度下,例如在约100℃和约180℃之间的温度下。当臭氧/氧气被流入腔室中时,腔室压力可在约5m Torr和约100Torr之间,且腔室中的基板支撑件的温度可在约10℃和约100℃之间,例如在约30℃和约80℃之间。
其他适当含硅前驱物可选自由以下各物质组成的组:八甲基化环四硅氧烷(OMCTS)、甲基二乙氧基硅烷(MDEOS)、二(叔丁基氨基)硅烷(BTBAS)、二(二乙氨基)硅烷(BDEAS)、三(二甲氨基)硅烷(TDMAS)、二(二甲氨基)硅烷(BDMAS)、二(乙基-甲氨基)硅烷(BEMAS)、硅酸甲酯(TMOS)、三甲基硅烷(TMS)、正硅酸乙酯(TEOS),和上述物质的组合。
其他适当的含氧前驱物可以是臭氧,所述臭氧与通过远程等离子体源产生的活性氧自由基混合。远程等离子体可通过将氧气提供至远程等离子体发生器,且将频率为13.56MHz和/或350KHz和功率在约50W和约3,000W之间的射频功率耦接至远程等离子体发生器中而形成。
在替代实施例中,使用活性氧物种和含硅前驱物的ALD工艺可用于形成氧化硅层,以便填充图案化光刻胶层。在ALD工艺中,提供含硅前驱物至处理腔室且允许含硅前驱物沉积在基板表面上,直到所有沉积部位被浸透为止。然后,提供活性氧物种至处理腔室以与沉积在基板表面上的硅前驱物反应,以形成共形氧化硅层。随后,使用净化气体将腔室净化,从处理腔室大体上去除所有含氧物种。重复层形成循环,直到达到目标厚度为止。用于ALD工艺的适当含硅前驱物可选自由以下各物质组成的组:二氯甲硅烷(DCS)、三氯硅烷(TCS)、四氯化硅、二溴硅烷、四溴化硅、BDEAS、OMCTS、三甲硅烷基胺(TSA)、硅烷、乙硅烷和上述物质的组合。
选择性地,在沉积氧化硅之前,可对具有图案化光刻胶层的基板执行使用氧气反应性离子蚀刻的除渣工艺。除渣工艺从基板表面去除颗粒或其他污染物。
在方块130中,使用反应性离子蚀刻工艺以回蚀刻氧化硅层,直到暴露图案化光刻胶层为止。含氟等离子体可用于回蚀刻氧化硅层以暴露光刻胶层。例如,将一氟化四碳(CF4)气体提供至包含基板的等离子体腔室。CF4气体被通过施加诸如射频能量的离解能量远程或原位地激活以产生含氟等离子体。可使用感应等离子体源将RF能量耦接至CF4气体中。在不损坏光刻胶层的情况下,含氟等离子体可回蚀刻氧化硅层。诸如BF3和SiF4的其他材料也可用于产生含氟等离子体。
在方块140中,反应性离子蚀刻工艺可用于去除图案化光刻胶层,所述图案化光刻胶层在硬掩模层之上的氧化硅层中形成图案。在不损坏氧化硅层的情况下,氧化气体的等离子体可用于去除光刻胶层。适当氧化气体可以是O2、O3、NO3、CO或H2O。
在方块150中,氧化硅层中的图案被复制到下部的硬掩模层,以暴露磁性敏感层部分以便后续处理。在不损坏图案化氧化硅和磁性敏感层的情况下,氧化气体的等离子体可用于去除暴露的硬掩模层。适当氧化气体可以是O2、O3、NO3、CO或H2O。
可在单个操作中使用相同的氧化气体等离子体执行方块140中的光刻胶层的去除和在方块150中的硬掩模层的图案化。
在方块160中,能量被朝向基板表面导向以改变无掩模区域中的磁性敏感层的磁性。能量可作为离子,作为中性粒子,或作为辐射传递。离子可为具有低原子计数的小离子,每一原子计数诸如小于约10个原子,例如分子离子;或离子可为各具有约10个原子或10个以上原子的大离子,例如大分子离子或簇离子。中性粒子可以是如上所述的任何类型的离子的中和物种,或中性粒子可以是自由基物种。辐射可以是激光或电子束辐射。因为对磁性敏感层掩模的硬掩模层和氧化硅层比传统使用的光刻胶图案具有增加的密度和厚度,具有增加的平均动能的高能物种可用于改变基板的磁性。
在方块170中,剩余硬掩模层可通过反应性离子蚀刻工艺去除。在不损坏磁性敏感层的情况下,可使用诸如O2、O3、NO3、CO或H2O的氧化气体的等离子体来去除剩余硬掩模层。
如上所述的本发明的实施例包括代替光刻胶层使用氧化硅层作为图案化掩模的新颖技术。使用低温CVD工艺以便填充图案化表面且形成平坦化氧化硅层替代了传统的旋涂技术。
通过使用氧化硅作为掩模以在硬掩模层上形成图案,本发明实施例提供优于磁性敏感层的传统图案化工艺的若干优点。根据本发明实施例形成的氧化硅和硬掩模图案与传统光刻胶图案相比更加坚固,因为在处理环境中,氧化硅层和硬掩模层物理上且化学上强于传统聚合物光刻胶。在不限制光刻胶压印工艺的情况下,硬掩模图案也可以增加的厚度制造,因为较厚的掩模可通过沉积用于硬掩模层的较厚薄膜制造。图案的增加的厚度降低了图案的缺陷且提高了磁性媒体的品质,因为较厚的掩模很可能在注入工艺期间承受离子轰击。
图2A至图2G是根据图1的方法100的在处理的各个阶段的基板202的示意侧视图。在图2A中,磁性敏感层204被形成在基板202上。碳硬掩模层206被形成在磁性敏感层204之上。图案化光刻胶层208被形成在碳硬掩模层206上。图案化光刻胶层208中的图案是预期用于磁性敏感层204上的图案的负像,如对于图1的方法100的方块110所述。在图案化光刻胶层208中,通过压印工艺形成多个通孔209。
在图2B中,氧化硅层210被形成在图案化光刻胶层208之上且填充通孔209。氧化硅层210可溢出通孔209以便图案化光刻胶层208位于氧化硅层210的顶表面212之下。氧化硅层210可由如方法100的方块120中所述的低温CVD工艺形成。
在图2C中,回蚀刻氧化硅层210,直到暴露图案化光刻胶层208为止。回蚀刻工艺可通过反应性离子蚀刻工艺执行,如在方法100的方块130中所述。在回蚀刻之后,顶表面214可大体上平坦,所述顶表面214包括光刻胶层208部分和氧化硅层210部分。
在图2D中,图案化光刻胶层208被去除以暴露硬掩模层206的一部分。图案化光刻胶层208可通过反应性离子蚀刻工艺去除,如在方法100的方块140中所述。随着图案化光刻胶层208被去除,具有围绕氧化硅柱的沟槽211的图案被形成在硬掩模层206之上的氧化硅层210之内。氧化硅层210的图案与预期用于处理磁性敏感层204的图案相同。
在图2E中,氧化硅层210被用以通过去除硬掩模层206的部分来图案化硬掩模层206。硬掩模层206可通过反应性离子蚀刻化学过程去除,所述反应性离子蚀刻化学过程不损坏磁性敏感层204和氧化硅层210,如在方法100的方块150中所述。氧化硅层210中的图案被复制到硬掩模层206。沟槽211被深化,直到待处理的磁性敏感层204的部分被暴露为止。形成具有围绕氧化硅柱和硬掩模材料柱的深化沟槽211的图案。
在图2F中,能量216被朝向磁性敏感层204导向以改变无掩模区域218中的磁性敏感层204的磁性,所述无掩模区域218未由硬掩模层206覆盖。能量可通过等离子体注入工艺传递,如在方法100的方块160中所述。当无掩模区域218的磁性被通过传递的能量216改变时,图案化硬掩模层206对磁性敏感层204的掩模区域220提供增强的保护。
在图2G中,在无掩模区域218的磁性被成功改变之后,硬掩模层206的剩余部分被通过蚀刻工艺除去。硬掩模层206可通过在方法100的方块170中所述的反应性离子蚀刻工艺去除。现使用区域218将磁性敏感层204图案化,所述区域218对围绕区域220的磁力不敏感,而区域220对磁力敏感。
图3是根据本发明的一个实施例的系统布置300的平面图。系统布置300可用于为磁性媒体执行图案化磁性敏感层的工艺,如在图1和图2中所述。
系统布置300包括CVD工具310、蚀刻工具330、注入工具350、基板翻转工具380和履带组件370,所述履带组件370被配置以在CVD工具、蚀刻工具330、注入工具350和基板翻转工具380之间传递基板或基板盒。
CVD工具310包括工厂介面312,所述工厂介面312被连接在一或多个前开式晶片盒(front opening universal pods;FOUP)314和负载锁定腔室316之间。CVD工具310还包括传递腔室318,所述传递腔室318被连接到多个CVD腔室322和负载锁定腔室316。CVD腔室322被配置以通过低温CVD工艺沉积氧化硅层,如在方法100的方块120中所述。基板传送机器人320被布置在传递腔室318中,用于在负载锁定腔室316和CVD腔室322之间传递基板或基板载体。CVD腔室322中的每个腔室可包括被配置以同时处理两组基板的双处理空间。基板传送机器人320可被配置以同时传送两个基板/基板载体。CVD腔室322的一个实例可用于来自Santa Clara,California(加利福尼亚的圣克拉拉)的Applied Materials,Inc.的PECVD腔室。CVD工具310的一个实例可用于也来自Santa Clara,California的Applied Materials,Inc.的GT平台。
蚀刻工具330包括工厂介面332,所述工厂介面332连接在一或多个FOUP334和负载锁定腔室336之间。蚀刻工具330也包括传递腔室338,所述传递腔室338被连接到多个蚀刻腔室342和负载锁定腔室336。每个蚀刻腔室342被配置以执行一或多个反应性离子蚀刻工艺,例如,如方块130中所述的氧化硅回蚀刻工艺,如方块140中描述的光刻胶蚀刻工艺,如方块150中描述的硬掩模蚀刻工艺和如方法100的方块170中所述的剩余硬掩模蚀刻工艺。基板传送机器人340被布置在传递腔室338中,用于在负载锁定腔室336和蚀刻腔室342之间传递基板或基板载体。蚀刻腔室342中的每个腔室可包括被配置以同时处理两组基板的双处理空间。基板传送机器人340可被配置以同时传送两个基板/基板载体。蚀刻腔室342的一个实例可用于来自Santa Clara,California的Applied Materials,Inc.的ETCH腔室。蚀刻工具330的一个实例可用于也来自Santa Clara,California的Applied Materials,Inc.的GT平台。
注入工具350包括工厂介面352,所述工厂介面352连接在一或多个FOUP354和负载锁定腔室356之间。负载锁定腔室356被进一步连接到传递腔室358,所述传递腔室358经耦接到多个等离子体离子浸入注入腔室362。基板传送机器人360被布置在传递腔室358中,用于在负载锁定腔室356和等离子体离子浸入注入腔室362之间传递基板/载体。等离子体离子浸入注入腔室362的每个腔室被配置以执行如方法100的方块160中所述的注入工艺。注入腔室362的一个实例可用于来自Santa Clara,California的Applied Materials,Inc.的ACP腔室。注入工具350的一个实例可用于也来自Santa Clara,California的Applied Materials,Inc.的平台。
基板翻转工具380被配置以为磁性媒体翻转基板,以便在基板两侧上形成磁性图案化。用于磁性媒体的基板388通常是具有中心孔的圆盘。在处理期间,基板388被在具有多个槽口的基板载体390中传递以便接收基板388。基板翻转工具380包括装载机模块384,所述装载机模块384连接一或多个FOUP 382和载体装卸模块386。基板翻转工具380还包括基板装卸模块392。装载机模块384在载体装卸模块386和一或多个FOUP 382之间传递多个基板载体390。基板装卸模块392从载体390获得基板388,将基板388翻转且使相对侧面朝上的方式将基板388放置在载体390上。示例性基板翻转工具的详细说明可见于申请于2011年1月4日,且发布为2011/0163065的美国专利申请案第12/984,528号中,所述申请案通过引用并入本文。
履带组件370包括轨道372和沿着轨道372移动的盒传送机器人374。盒传送机器人374被配置以在系统布置300的FOUP 314、334、354和382之间传递基板载体盒。取决于CVD工具310、蚀刻工具330、注入工具350和基板翻转工具380的布置,轨迹372可为线性或其他形状。
在处理期间,定位在基板载体390上的多个基板388首先被通过盒传送机器人374装载至CVD工具310中。基板388具有磁性敏感层和形成在硬掩模层上的图案化光刻胶层,如图2A中所示。氧化硅层被通过低温CVD工艺形成在CVD腔室322中的基板388之上,如方块120中所描述。
在形成氧化硅层之后,多个基板388在盒中的载体390上被传递到蚀刻工具330。在蚀刻工具330,氧化硅层被回蚀刻以暴露图案化光刻胶层,如方块130中所述;光刻胶层随后被通过蚀刻工艺去除,如方块140中所述;且硬掩模层被使用作为光刻胶的氧化硅层蚀刻,如方块150中所述。蚀刻工艺可在不同蚀刻腔室342中进行,或在相同蚀刻腔室342中进行。
基板388随后被从蚀刻工具330传递到注入工具350。无掩模磁性敏感层被通过在等离子体离子浸入注入腔室362中执行的离子注入改变,如方块160中所述。
在注入之后,基板388被传递回到蚀刻工具330以去除剩余的硬掩模层。剩余硬掩模层可通过蚀刻腔室322中的一个腔室,通过如方块170中所述的工艺去除。如此结束了在基板388一侧上的磁性敏感层的图案化。
基板388然后被传递至基板翻转工具380。基板388被翻转以使未处理的侧面朝上且被返回到CVD工具310以重复图案化工艺。
图4是根据本发明的另一实施例的系统布置400的平面图。系统布置400可用于为磁性媒体执行图案化磁性敏感层的工艺,如在图1和图2中所述。与系统布置300相比,系统布置400是低成本组件,所述低成本组件具有较少工具和腔室且在清洗室中占据较小空间。如同在系统布置300中,系统布置400包括基板翻转工具380和履带组件370。系统布置400还包括CVD/蚀刻工具430和注入工具450。
CVD/蚀刻工具430类似于CVD工具310,除了CVD/蚀刻工具430包括CVD腔室432和蚀刻腔室434之外。注入工具450类似于注入工具350,除注入工具450仅包括一个等离子体离子浸入注入腔室462之外。
在处理期间,定位在基板载体390上的多个基板388首先被通过盒传送机器人374装载至CVD/蚀刻工具430中。氧化硅层被通过低温CVD工艺形成在CVD腔室432中的基板388上,如方块120中所描述。氧化硅层被回蚀刻以暴露图案化光刻胶层,如方块130中所述;光刻胶层随后被通过蚀刻工艺去除,如方块140中所述;且硬掩模层被使用作为光刻胶的氧化硅层蚀刻,如方块150中所述。蚀刻工艺是在蚀刻腔室434中执行。然后,基板388被从CVD/蚀刻工具430传递到注入工具450。无掩模磁性敏感层被通过在等离子离子浸入注入腔室462中执行的离子注入改变,如方块160中所述。在注入之后,基板388被传递回到CVD/蚀刻工具430以去除蚀刻腔室434中的剩余硬掩模层。然后,基板388被传递到基板翻转工具380以使相对侧朝上,且被返回到CVD/蚀刻工具430以重复图案化工艺。
虽然前述内容是针对本发明实施例,但是可在不背离本发明的基本范围的情况下设计本发明的其他和进一步实施例,且本发明的范围是由以上权利要求书所决定。
Claims (10)
1.一种用于形成图案化磁性层的方法,包含:
在沉积在基板上的磁性敏感层之上的硬掩模层中形成图案,其中所述在硬掩模层中形成图案包含:
在所述硬掩模层之上形成具有氧化硅层的所述图案,其中所述形成具有氧化硅层的所述图案包含:
在所述硬掩模层之上的光刻胶层中形成反向图案;
通过形成所述氧化硅层而以氧化硅填充所述光刻胶层中的所述反向图案;
回蚀刻所述氧化硅层以暴露所述光刻胶层;和
去除所述光刻胶层以形成具有所述氧化硅层的所述图案;和
使用所述氧化硅层的所述图案蚀刻所述硬掩模层;和
将能量朝向所述基板导向以改变所述磁性敏感层的磁性,以形成图案化磁性层。
2.如权利要求1所述的方法,其中所述形成所述氧化硅层包含:通过执行化学气相沉积工艺沉积所述氧化硅层。
3.如权利要求2所述的方法,其中所述化学气相沉积工艺是在低于150℃的温度下执行。
4.如权利要求3所述的方法,其中所述温度在20℃至100℃之间。
5.如权利要求4所述的方法,其中所述温度在30℃至80℃之间。
6.如权利要求2所述的方法,其中所述执行化学气相沉积工艺包含:
流动含硅前驱物以沉积共形含硅半层;和
流动反应性含氧气体以与所述共形含硅半层反应。
7.如权利要求6所述的方法,其中含硅前驱物包含二(二乙氨基)硅烷(BDEAS),且所述含氧气体包含臭氧和氧气混合物。
8.如权利要求1所述的方法,其中回蚀刻所述氧化硅层包含:使用含氟等离子体执行反应性离子蚀刻。
9.如权利要求1所述的方法,其中去除所述光刻胶层包含:使用氧化气体等离子体执行反应性离子蚀刻。
10.如权利要求1所述的方法,所述方法进一步包含:通过使用氧化气体等离子体执行反应性离子蚀刻工艺来去除所述硬掩模层。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201710661170.8A CN107611258A (zh) | 2011-11-23 | 2012-10-16 | 用于氧化硅化学气相沉积光刻胶平坦化的方法 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201161563137P | 2011-11-23 | 2011-11-23 | |
US61/563,137 | 2011-11-23 | ||
PCT/US2012/060430 WO2013077952A1 (en) | 2011-11-23 | 2012-10-16 | Apparatus and methods for silicon oxide cvd photoresist planarization |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710661170.8A Division CN107611258A (zh) | 2011-11-23 | 2012-10-16 | 用于氧化硅化学气相沉积光刻胶平坦化的方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN103959380A CN103959380A (zh) | 2014-07-30 |
CN103959380B true CN103959380B (zh) | 2017-08-29 |
Family
ID=48427326
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201280057804.4A Expired - Fee Related CN103959380B (zh) | 2011-11-23 | 2012-10-16 | 用于氧化硅化学气相沉积光刻胶平坦化的方法 |
CN201710661170.8A Pending CN107611258A (zh) | 2011-11-23 | 2012-10-16 | 用于氧化硅化学气相沉积光刻胶平坦化的方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710661170.8A Pending CN107611258A (zh) | 2011-11-23 | 2012-10-16 | 用于氧化硅化学气相沉积光刻胶平坦化的方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US8852962B2 (zh) |
KR (1) | KR101590082B1 (zh) |
CN (2) | CN103959380B (zh) |
WO (1) | WO2013077952A1 (zh) |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10202684B2 (en) | 2010-08-23 | 2019-02-12 | Exogenesis Corporation | Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby |
CA2811750C (en) | 2010-08-23 | 2018-08-07 | Exogenesis Corporation | Method and apparatus for neutral beam processing based on gas cluster ion beam technology |
CN103426978B (zh) * | 2012-05-17 | 2016-09-07 | 泰州畅瑞照明有限公司 | Led芯片的制造方法 |
US9679984B2 (en) * | 2012-11-07 | 2017-06-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Metal gate structure with multi-layer composition |
CN104995333B (zh) * | 2013-02-19 | 2017-09-22 | 应用材料公司 | 使用可流动式cvd膜的hdd图案化 |
KR102195139B1 (ko) * | 2014-02-20 | 2020-12-24 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
CN104332407B (zh) * | 2014-08-27 | 2020-03-31 | 上海华力微电子有限公司 | 用于镍硅合金化工艺的阻挡层的制备方法 |
CN106164326B (zh) * | 2015-03-11 | 2019-08-16 | 艾克索乔纳斯公司 | 基于气体团簇离子束技术的中性射束处理方法以及由此产生的物品 |
CN107403865B (zh) * | 2016-05-18 | 2020-07-14 | 上海磁宇信息科技有限公司 | 一种双重图形技术图案化磁性隧道结的方法 |
CN107452874B (zh) * | 2016-05-30 | 2020-07-14 | 上海磁宇信息科技有限公司 | 一种磁性隧道结自对准钽掩模的形成方法 |
TWI692011B (zh) * | 2016-07-20 | 2020-04-21 | 美商艾克索傑尼席斯公司 | 用於基於氣體簇離子束技術的中性束處理之方法及藉其製造之物件 |
ES2773989T3 (es) * | 2017-05-19 | 2020-07-16 | Total Sa | Aparato y método para el procesamiento de texturizado |
CN111071986B (zh) * | 2019-12-30 | 2023-05-16 | 北京航空航天大学 | 一种激光改性辅助制备碳化硅多级微结构的方法及一种加速度传感器 |
CN113517182A (zh) * | 2021-06-29 | 2021-10-19 | 上海华力集成电路制造有限公司 | 硬掩膜层的图形结构的形成方法 |
CN117377375B (zh) * | 2023-12-07 | 2024-03-22 | 致真存储(北京)科技有限公司 | 磁存储单元的制作方法及存储器 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101542604A (zh) * | 2006-11-27 | 2009-09-23 | 昭和电工株式会社 | 制造磁记录介质的方法以及磁记录和再现装置 |
CN101847596A (zh) * | 2009-03-25 | 2010-09-29 | 旺宏电子股份有限公司 | 图案化的方法 |
CN101946282A (zh) * | 2008-02-12 | 2011-01-12 | 应用材料股份有限公司 | 利用等离子体离子注入的磁畴图案化 |
CN102027539A (zh) * | 2008-05-13 | 2011-04-20 | 昭和电工株式会社 | 磁记录介质的制造方法和制造装置 |
CN102714043A (zh) * | 2010-01-05 | 2012-10-03 | 应用材料公司 | 用于磁介质的批处理的系统 |
Family Cites Families (50)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3967002A (en) * | 1974-12-31 | 1976-06-29 | International Business Machines Corporation | Method for making high density magnetic bubble domain system |
DE2732282C3 (de) * | 1977-07-16 | 1982-03-25 | Gesellschaft für Schwerionenforschung mbH, 6100 Darmstadt | Verfahren zum Herstellen einer magnetischen Speicherschicht |
US4556597A (en) * | 1983-08-22 | 1985-12-03 | International Business Machines Corporation | Ion doped servo pattern for magnetic recording disk |
US4684547A (en) | 1985-12-27 | 1987-08-04 | International Business Machines Corporation | Format patterning method for magnetic recording media |
US4669004A (en) * | 1986-02-27 | 1987-05-26 | Quantum Corporation | High capacity disk file with embedded sector servo |
US4935278A (en) | 1988-04-28 | 1990-06-19 | International Business Machines Corporation | Thin film magnetic recording disk and fabrication process |
US5220476A (en) | 1990-11-02 | 1993-06-15 | Godwin Jimmy D | Non-erasable magnetic data storage method |
US5232566A (en) | 1991-05-14 | 1993-08-03 | International Business Machines Corporation | Underlayer doping in thin film magnetic recording media |
US6014296A (en) | 1995-07-24 | 2000-01-11 | Kabushiki Kaisha Toshiba | Magnetic disk, method of manufacturing magnetic disk and magnetic recording apparatus |
US5723033A (en) | 1995-09-06 | 1998-03-03 | Akashic Memories Corporation | Discrete track media produced by underlayer laser ablation |
US6055139A (en) | 1995-12-14 | 2000-04-25 | Fujitsu Limited | Magnetic recording medium and method of forming the same and magnetic disk drive |
US5858474A (en) | 1996-02-20 | 1999-01-12 | Seagate Technology, Inc. | Method of forming a magnetic media |
US5991104A (en) | 1996-11-27 | 1999-11-23 | Seagate Technology, Inc. | Using servowriter medium for quickly written servo-patterns on magnetic media |
US6368425B1 (en) | 1998-01-27 | 2002-04-09 | Seagate Technology Llc | Ion treatments for magnetic recording heads and magnetic recording media |
US6086961A (en) | 1998-03-09 | 2000-07-11 | Seagate Technology, Inc. | Quickly written servo-patterns for magnetic media including removing |
US6368678B1 (en) | 1998-05-13 | 2002-04-09 | Terry Bluck | Plasma processing system and method |
US6168845B1 (en) | 1999-01-19 | 2001-01-02 | International Business Machines Corporation | Patterned magnetic media and method of making the same using selective oxidation |
JP2001068538A (ja) | 1999-06-21 | 2001-03-16 | Tokyo Electron Ltd | 電極構造、載置台構造、プラズマ処理装置及び処理装置 |
US6383574B1 (en) | 1999-07-23 | 2002-05-07 | Headway Technologies, Inc. | Ion implantation method for fabricating magnetoresistive (MR) sensor element |
US6510015B2 (en) | 1999-12-10 | 2003-01-21 | Seagate Technology Llc | Magnetic disc having physical servo patterns with a magnetic carrier, and method of making and using the same |
JP4820038B2 (ja) | 1999-12-13 | 2011-11-24 | セメクイップ, インコーポレイテッド | イオン注入イオン源、システム、および方法 |
JP4268303B2 (ja) | 2000-02-01 | 2009-05-27 | キヤノンアネルバ株式会社 | インライン型基板処理装置 |
DE10111139A1 (de) | 2000-04-07 | 2001-10-11 | Merck Patent Gmbh | Elektrooptische Flüssigkristallanzeige |
US6898031B1 (en) | 2000-04-19 | 2005-05-24 | Seagate Technology Llc | Method for replicating magnetic patterns on hard disk media |
US6864042B1 (en) | 2000-07-25 | 2005-03-08 | Seagate Technology Llc | Patterning longitudinal magnetic recording media with ion implantation |
US7465478B2 (en) | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7064491B2 (en) | 2000-11-30 | 2006-06-20 | Semequip, Inc. | Ion implantation system and control method |
US6753043B1 (en) | 2000-12-07 | 2004-06-22 | Seagate Technology Llc | Patterning of high coercivity magnetic media by ion implantation |
US6656614B1 (en) | 2001-06-04 | 2003-12-02 | Seagate Technology Llc | Method for manufacturing magnetic media with textured CSS landing zone formed by ion implantation, and media obtained thereby |
US7050248B1 (en) | 2002-06-28 | 2006-05-23 | Seagate Technology Llc | Method and apparatus for patterning magnetic media by contact printing |
WO2004057579A2 (en) | 2002-11-21 | 2004-07-08 | Berkshire Laboratories, Inc. | Enhanced data storage and retrieval devices and systems and methods for utilizing same |
US6987272B2 (en) | 2004-03-05 | 2006-01-17 | Axcelis Technologies, Inc. | Work piece transfer system for an ion beam implanter |
US7697260B2 (en) | 2004-03-31 | 2010-04-13 | Applied Materials, Inc. | Detachable electrostatic chuck |
US7378028B2 (en) * | 2004-06-03 | 2008-05-27 | Seagate Technology Llc | Method for fabricating patterned magnetic recording media |
US7038225B2 (en) | 2004-06-23 | 2006-05-02 | Seagate Technology Llc | Method and apparatus for electron beam processing of substrates |
US7393789B2 (en) * | 2005-09-01 | 2008-07-01 | Micron Technology, Inc. | Protective coating for planarization |
KR101324549B1 (ko) | 2005-12-08 | 2013-11-01 | 몰레큘러 임프린츠 인코퍼레이티드 | 기판의 양면 패턴화를 위한 방법 및 시스템 |
US7651571B2 (en) | 2005-12-22 | 2010-01-26 | Kyocera Corporation | Susceptor |
JP5590886B2 (ja) | 2006-09-26 | 2014-09-17 | アプライド マテリアルズ インコーポレイテッド | 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理 |
US7704614B2 (en) * | 2006-10-20 | 2010-04-27 | Seagate Technology Llc | Process for fabricating patterned magnetic recording media |
US7675048B2 (en) | 2007-03-06 | 2010-03-09 | Varian Semiconductor Equipment Associates, Inc. | Wafer holding robot end effecter vertical position determination in ion implanter system |
US20100327413A1 (en) * | 2007-05-03 | 2010-12-30 | Lam Research Corporation | Hardmask open and etch profile control with hardmask open |
JP4881908B2 (ja) | 2007-06-19 | 2012-02-22 | 昭和電工株式会社 | 磁気記録媒体の製造方法及び磁気記録再生装置 |
CN101896995B (zh) | 2007-12-20 | 2012-05-30 | 应用材料公司 | 具有改善的气流分布的热反应器 |
US8153348B2 (en) * | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP4968591B2 (ja) * | 2008-03-21 | 2012-07-04 | 富士電機株式会社 | 磁気記録媒体およびその製造方法 |
JP4489132B2 (ja) * | 2008-08-22 | 2010-06-23 | 株式会社東芝 | 磁気記録媒体の製造方法 |
US8357617B2 (en) * | 2008-08-22 | 2013-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of patterning a metal gate of semiconductor device |
US9685186B2 (en) | 2009-02-27 | 2017-06-20 | Applied Materials, Inc. | HDD pattern implant system |
US8673162B2 (en) * | 2009-12-10 | 2014-03-18 | Applied Materials, Inc. | Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation |
-
2012
- 2012-10-16 CN CN201280057804.4A patent/CN103959380B/zh not_active Expired - Fee Related
- 2012-10-16 CN CN201710661170.8A patent/CN107611258A/zh active Pending
- 2012-10-16 WO PCT/US2012/060430 patent/WO2013077952A1/en active Application Filing
- 2012-10-16 KR KR1020147016529A patent/KR101590082B1/ko not_active IP Right Cessation
- 2012-10-24 US US13/659,555 patent/US8852962B2/en not_active Expired - Fee Related
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101542604A (zh) * | 2006-11-27 | 2009-09-23 | 昭和电工株式会社 | 制造磁记录介质的方法以及磁记录和再现装置 |
CN101946282A (zh) * | 2008-02-12 | 2011-01-12 | 应用材料股份有限公司 | 利用等离子体离子注入的磁畴图案化 |
CN102027539A (zh) * | 2008-05-13 | 2011-04-20 | 昭和电工株式会社 | 磁记录介质的制造方法和制造装置 |
CN101847596A (zh) * | 2009-03-25 | 2010-09-29 | 旺宏电子股份有限公司 | 图案化的方法 |
CN102714043A (zh) * | 2010-01-05 | 2012-10-03 | 应用材料公司 | 用于磁介质的批处理的系统 |
Also Published As
Publication number | Publication date |
---|---|
US20130130405A1 (en) | 2013-05-23 |
WO2013077952A1 (en) | 2013-05-30 |
CN107611258A (zh) | 2018-01-19 |
KR20140098795A (ko) | 2014-08-08 |
US8852962B2 (en) | 2014-10-07 |
CN103959380A (zh) | 2014-07-30 |
KR101590082B1 (ko) | 2016-01-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN103959380B (zh) | 用于氧化硅化学气相沉积光刻胶平坦化的方法 | |
CN104995333B (zh) | 使用可流动式cvd膜的hdd图案化 | |
KR102455124B1 (ko) | 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환 | |
TWI612700B (zh) | 用於磁性媒材圖案化之阻劑強化 | |
JP6749516B1 (ja) | 酸化ケイ素薄膜の選択的横成長 | |
US9859128B2 (en) | Self-aligned shielding of silicon oxide | |
CN110313051A (zh) | 使用远程等离子体处理使碳化硅膜致密化 | |
CN105225945A (zh) | 用于减法金属集成的衬层和阻挡层应用 | |
US9875907B2 (en) | Self-aligned shielding of silicon oxide | |
KR20190128668A (ko) | 실리콘 산화물의 핵형성/접착을 개선함으로써 막 조도를 개선하기 위한 처리 접근법 | |
CN110517983A (zh) | 用于蚀刻期间的低k沟槽保护的原子层沉积 | |
US9660185B2 (en) | Pattern fortification for HDD bit patterned media pattern transfer | |
TW201448036A (zh) | 改良低k介電膜之機械強度及生產量之uv硬化製程 | |
US20220130722A1 (en) | Multi color stack for self aligned dual pattern formation for multi purpose device structures | |
JP6431962B2 (ja) | 単層膜が媒介する高精度の膜堆積 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20170829 Termination date: 20201016 |