CN102334161B - Hdd图案布植系统 - Google Patents

Hdd图案布植系统 Download PDF

Info

Publication number
CN102334161B
CN102334161B CN201080009890.2A CN201080009890A CN102334161B CN 102334161 B CN102334161 B CN 102334161B CN 201080009890 A CN201080009890 A CN 201080009890A CN 102334161 B CN102334161 B CN 102334161B
Authority
CN
China
Prior art keywords
substrate
chamber
magnetic
treatment chamber
treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201080009890.2A
Other languages
English (en)
Other versions
CN102334161A (zh
Inventor
M·A·孚德
J·纽曼
J·A·马林
D·J·霍夫曼
S·莫法特
S·文哈弗贝克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201410088408.9A priority Critical patent/CN103824569B/zh
Publication of CN102334161A publication Critical patent/CN102334161A/zh
Application granted granted Critical
Publication of CN102334161B publication Critical patent/CN102334161B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/82Disk carriers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches

Abstract

本发明提供用于形成具有经磁性图案化的表面的基板的方法及装置。在一基板上形成包含具有磁性性质的一种或多种材料的一磁层。该磁层经受一图案化制程,在图案化制程中改变该磁层的该表面的选定部分,以使得该经改变的部分具有与非改变部分不同的磁性性质而不改变该基板的构形。在该经图案化的磁层上方沉积一保护层及一润滑层。该图案化经由将基板暴露于具有变动形式的能量的若干制程来实现。本文揭示的装置及方法使得能够同时处理一基板的两个主表面或藉由翻转相继处理一基板的两个主表面。在一些实施例中,该基板表面的磁性性质可藉由等离子体暴露来均匀地改变,且随后藉由暴露于经图案化的能量来选择性地复原磁性性质。

Description

HDD图案布植系统
技术领域
本发明各实施例涉及硬盘驱动器介质及用于制造硬盘驱动器介质的装置和方法。更具体地,本发明各实施例涉及用于形成硬盘驱动器的经图案化磁性介质的方法及装置。
背景技术
硬盘驱动器是计算机及相关设备首选的存储介质。硬盘驱动器发现于大多数桌上型及膝上型计算机中,且亦可发现于若干消费电子设备(诸如,媒体记录器及播放机以及用于收集和记录数据的仪器)中。硬盘驱动器也部署成阵列以用于网络存储。
硬盘驱动器磁性地存储信息。硬盘驱动器中的磁盘经配置以具有可由磁头单独寻址的磁畴。磁头移动至接近磁畴并改变磁畴的磁性性质以记录信息。为恢复所记录的信息,磁头移动至接近磁畴并检测磁畴的磁性性质。磁畴的磁性性质通常解译为对应于两个可能状态中的一个:“0”状态及“1”状态。以此方式,可将数字信息记录于磁性介质上并在的后恢复这些信息。
硬盘驱动器中的磁性介质通常是其上沉积有磁化材料的玻璃、复合玻璃/陶瓷或金属基板,这些玻璃、复合玻璃/陶瓷或金属基板通常为非磁性。磁化层通常经沉积以形成图案,以使得磁盘的表面具有散布有磁非活性区域的磁化率区域。非磁性基板通常在构形上经图案化,且磁化材料藉由旋涂或电镀来沉积。磁盘可随后经抛光或平面化以暴露围绕磁畴的非磁性边界。在一些状况下,以图案化的方式沉积磁性材料以形成由非磁性区域隔开的磁粒或磁点。
预期此类方法产生储存结构,该结构能够支持高达约1TB/in2的数据密度,其中个别磁畴具有如20nm小的尺寸。在具有不同自旋定向的磁畴接合的情况下,存在称为布洛赫壁(Bloch wall)的区域,其中自旋定向经历自第一定向过渡至第二定向。因为布洛赫壁占用总磁畴的增大的部分,所以此过渡区域的宽度限制信息储存的区域密度。
为克服归因于连续磁性薄膜中布洛赫壁宽度引起的限制,磁畴可由非磁性区域(该非磁性区域可比连续磁性薄膜中布洛赫壁的宽度窄)实体隔开。在介质上产生离散磁性区域及非磁性区域的常规方法已着重于藉由将磁畴沉积为单独岛状物或藉由自连续磁膜移除材料以实体隔开磁畴来形成彼此完全分隔的单一位元磁畴。基板可经遮蔽及图案化,且磁性材料沉积于暴露部分上方,或该磁性材料可在遮蔽及图案化之前得以沉积,且随后在暴露部分中被蚀刻掉。在任一状况下,基板的构形由磁性区域的剩余图案改变。因为典型硬盘驱动器的读写头可飞离磁盘的表面接近2nm,所以这些构形改变可变得有限。因此,需要具有高解析度且不改变介质构形的用于图案化磁性介质的制程或方法,及用于有效执行用于大量制造的制程或方法的设备。
发明内容
本文描述的实施例提供用于处理磁性基板的装置,该装置具有一具有感应等离子体源的处理腔室、与该处理腔室邻接的平台、耦合至该平台及该处理腔室的基板载入器,及用于改变每一基板的至少两个主表面的磁性性质的构件,该构件以图案化的方式将每一基板的两个主表面暴露于该感应等离子体源。
其他实施例提供用于处理一个或多个基板的装置,该装置具有多个处理腔室,每一腔室包含具有多个横向位移基板固持位点的基板支撑件、朝向该基板支撑件的等离子体源,及一气源;与处理腔室邻接的用于固持一个或多个基板的平台;及与处理腔室及该平台耦合的基板载入器。
其他实施例提供用于处理磁性基板的装置,该装置具有多个处理腔室,该多个处理腔室中至少一个具有一具有安置于其中的多个横向位移基板位置的基板支撑件;耦合至处理腔室的移送室;及围绕与该基板的直径平行的轴线旋转基板的机器人。
其他实施例提供在一个或多个基板的磁化表面上产生磁畴的图案的方法,该方法藉由以下步骤来达成:将掩模施加于该磁化表面的至少一部分以形成该表面的遮蔽部分及未遮蔽部分;藉由将该表面暴露于等离子体浸渍离子注入制程来改变该磁化表面的未遮蔽部分的磁性特征,该制程包含具有约0.2keV与4.8keV之间的平均能量的离子;及移除掩模。
其他实施例提供形成硬盘驱动器的磁性介质的方法,该方法藉由以下步骤来达成:在基板的至少两个主表面上形成磁层;在该基板的该至少两个主表面上的该磁层上方形成图案化掩模以形成该磁层的遮蔽部分及未遮蔽部分;及藉由将该未遮蔽部分暴露于等离子体来改变该基板的该至少两个主表面的该未遮蔽部分的磁性性质。
其他实施例提供具有磁化层、与该磁化层邻接的保护层,及与该保护层邻接的润滑层的基板,该磁化层具有具一第一磁性特征的第一多个磁畴及具有可测地不同于该第一磁性特征的一第二磁性特征的第二多个磁畴,其中该第一多个磁畴及该第二多个磁畴中的每一磁畴具有与基板所界定的平面平行且不超过约50nm的尺寸。
附图说明
因此,可详细理解本发明的上述特征结构的方式,即上文简要概述的本发明的更特定描述可参照实施例进行,一些实施例在附图中示出。然而,应注意,附图仅示出本发明的典型实施例,且因此不应视为其范畴的限制,因为本发明可允许其他同等有效的实施例。
第1A图为概述根据一实施例的方法的流程图。
第1B图至第1D图为处于第1A图的方法的各种阶段的基板的示意性侧视图。
第2A图为概述根据另一实施例的方法的流程图。
第2B图至第2D图为处于第2A图的方法的各种阶段的基板的示意性侧视图。
第3A图为根据另一实施例的基板处理装置的平面图。
第3B图为第3A图的装置的侧视图。
第3C图及第3D图为根据两个不同实施例的基板处置器的延伸部分的详细视图。
第4A图为概述根据一实施例的制程的流程图。
第4B图至第4I图为展示处于第4A图的制程的不同阶段的各种基板的示意性侧视图。
第5图为根据一实施例的基板处理室的等角剖视图。
第6A图至第6C图为展示具有含多个基板位置的基板支撑件的基板处理室的不同实施例的示意性侧视图。
第7图为根据一实施例的基板处理装置的平面图。
第8图为根据另一实施例的基板处理装置的平面图。
第9A图为根据一实施例的基板固持件的透视图。
第9B图为根据另一实施例的基板支撑件上的基板固持件的横截面图。
为了促进理解,在可能情况下已使用相同元件符号以指定为诸图所共有的相同元件。预期一实施例中所揭示的元件可在不特定叙述的情况下有利地用于其他实施例上。
详细描述
本发明的实施例大体而言提供用于处理硬盘驱动器的磁性介质基板的装置及方法。这种装置及方法藉由以图案化的方式对基板施加能量来改变基板的磁性性质,以产生具有可由磁头检测并改变的不同性质的磁畴。磁畴可由接近基板表面固持的磁头单独寻址,进而使得磁头能够检测并影响个别磁畴的磁性性质。本发明的实施例产生具有小于约25nm的尺寸的磁畴,同时保持基板的构形。
所使用的基板通常为金属或玻璃,且可为金属合金或复合玻璃物质(诸如,玻璃/陶瓷掺合物)。基板通常涂布磁化材料,该磁化材料提供用于磁性图案化的介质。可在多层中形成磁化材料,每一层具有相同或不同的组成物。在一个实施例中,第一软磁性材料层(诸如,铁或及铁/镍合金)形成于基座基板上方,且第二磁性材料层(诸如,钴/镍/铂合金)形成于第一层上方。这些层可藉由本领域已知的任何适当方法(诸如,物理气相沉积,或溅射、化学气相沉积、等离子体增强化学气相沉积、旋涂、藉由电化学或无电极构件的电镀,及类似方法)来形成。
下文更详细描述在磁化材料中形成磁性图案后,在磁化层上方形成保护层以防止磁头与磁性介质之间的接触。保护层较佳为磁非活性,且在一些实施例中包含碳(诸如,非晶碳或类钻石碳,或氮化碳)。保护层亦通常极薄(诸如,厚度小于约10nm)。
可在保护层上方形成润滑层以在磁头与基板之间接触的情况下保护磁头。润滑层可为润滑聚合物(诸如,氟聚合物),且可藉由任何便利方法来沉积润滑层。润滑层亦通常为薄的(诸如,厚度小于约50nm)。
本发明的实施例提供用于经由物理图案化制程在硬盘介质的一个或多个表面中建立磁性图案的方法及装置。图案模板涂布有掩模材料,且施加于基板的模板将被图案化。因此,根据模板的图案将掩模材料涂覆于基板。可以此方式将具有小于约25nm的尺寸的特征结构的极精细图案压印于基板上。掩模可使基板的部分完全地暴露,或掩模可用薄掩模层覆盖一些部分且用厚掩模层覆盖其他部分。基板的仍暴露的部分或用薄掩模层覆盖的基板部分本质上为未遮蔽,而其他部分为经遮蔽。基板的未遮蔽部分可随后暴露于能量以改变未遮蔽部分的磁性性质。虽然在移除掩模后,基板仍具有其原始构形,但是其具有极精细的磁性与非磁畴图案且能够支持超过1Tb/in2的储存密度。
第1A图为概述根据本发明一实施例的方法100的流程图。第1B图至第1D图为处于第1A图的制程的各种阶段的基板150的示意性横截面图。基板150具有基层152及磁化层154。基层152通常为结构上坚固的材料(诸如,金属、玻璃、陶瓷或上述材料的组合)。虽然诸多基板通常以铝或玻璃基层为特征,但是其他实施例可以碳复合材料为特征。基层152向磁化层154提供结构强度及优良粘着力,且基层152通常不透磁,或者该基层152具有抗磁或仅极弱顺磁的性质。举例而言,在一些实施例中,基层的磁化率小于约10-4(铝的磁化率为约1.2×10-5)。
磁化层154通常由一种或多种铁磁性材料形成。在一些实施例中,层154包含具有相同或不同组成物的多个层。在一个实施例中,层154包含第一层及第二层,其中该第一层为通常定义为具有低磁矫顽性的材料的软磁性材料,且该第二层具有比该第一层高的矫顽性。在一些实施例中,该第一层可包含铁、镍、铂或上述材料的组合。在一些实施例中,该第一层可包含具有相同或不同组成物的多个子层。该第二层亦可包含各种材料,诸如钴、铬、铂、钽、铁、铽、钆或上述材料的组合。该第二层亦可包含具有相同或不同组成物的多个子层。在一个实施例中,磁化层154包含第一层及第二层,该第一层为铁或铁/镍合金且具有约100nm与约1,000nm(1μm)之间的厚度,该第二层包含两个子层,每一子层具有约30nm与约70nm之间的厚度(诸如,约50nm),且每一子层包含铬、钴及铂。
磁表面经图案化以形成具有变动磁活动性的磁畴。在102处,为了产生磁畴图案,将掩模材料156涂覆于基板150。掩模材料156通常包含可易于移除而不以任何方式改变磁化层154的材料,或若不移除亦将不会不利地影响设备性质的材料。举例而言,在诸多实施例中,掩模材料可溶解于溶剂液体(诸如,水或烃)中。在一些实施例中,掩模作为固化液体涂覆至基板,用模板物理压印来图案化,并藉由加热或UV曝露来固化。在其他实施例中,在将经涂布的模板施加至基板之前将掩模涂覆至模板并将该掩模至少部分地固化。掩模材料156亦通常对由入射能量或高能离子引起的降解有抵抗力。在一些实施例中,掩模材料156为可固化材料(诸如,环氧聚合物或热塑性聚合物),该可固化材料在受固化之前将流动且在固化之后将提供对高能制程的一些抗性。掩模材料界定磁化层154的遮蔽及未遮蔽部分。
在104处,改变磁化层154的未遮蔽部分的磁性性质。能量158经导引至基板150并冲击于磁化层154的经暴露的未遮蔽部分上。当能量达到足以激励材料中原子的热运动的强度时,将磁性材料暴露于能量将通常开始中断并改变磁性性质。高于某一阈值的能量将使原子的自旋方向不规则,进而减小或消除材料的磁性性质。在一些实施例中,磁化层154的磁性或磁化率可藉由暴露于能量158来减小或消除。磁化率使材料当暴露于磁场时将藉以容易地获取磁性。磁化层154的未遮蔽部分的改变产生由未改变区域162及改变区域160定义的磁畴图案。图案可视为磁性材料及非磁性材料的磁畴、高磁场及低磁场的磁畴或高磁化率及低磁化率的磁畴。在106处,接着移除掩模材料156,使基板具有磁化层154,磁化层154具有由未改变区域162及改变区域160定义的磁畴图案,其中改变区域具有比未改变区域更低的磁活动性。所得基板150具有的构形与基板150在处理之前具有的构形大体上相同。
第2A图为概述根据本发明的另一实施例的方法200的流程图。第2B图至第2D图为处于第2A图的方法的各种阶段的基板250的示意性侧视图。在202处,在与结合第1A图至第1D图所描述的制程类似的制程中,将基板的磁表面图案化为具有不同磁性性质的区域。第2B图展示具有基层252及磁层254的基板250。基层252及磁层254可具有与上文所述的基层152及磁化层154类似的性质。磁层254的图案化区域256具有与未图案化区域不同的磁性性质。举例而言,图案化区域256可为大体上去磁,或者图案化区域256的磁性或磁化率可能已被减小或改变。
在204处,在基板上磁层上方形成保护层258。保护层258防止磁表面与可降级该保护层性质的任何材料(诸如氧或湿气)的相互作用。在一些实施例中保护层258亦可为密封层。在一些实施例中,保护层258可包含碳,可包含碳及氮,可为含碳及含氮层,可为非晶碳层或可为氮化碳层。在其他实施例中,保护层258可为可包含碳的聚合物。在一些实施例中,保护层258可为塑胶或热塑性材料。保护层通常在低温制程中加以沉积以避免改变磁层254的磁性性质。
在206处,在基板上方形成润滑层260。润滑层260为磁性设备(未图示)提供保护,该磁性设备经配置以检测并改变基板250的磁表面254上区域的磁性性质。在磁性设备与基板表面之间接触的情况下,润滑层260将最小化磁性设备上可损坏该设备的摩擦力。在一些实施例中,润滑层260可为聚合物、含碳聚合物、含氟聚合物、含氟及碳聚合物、氟聚合物、结晶层或类钻石碳层。润滑层260通常亦在低温制程中加以沉积。
第1A图的方法100及第2A图的方法200以将基板表面图案化为具有不同磁性性质的区域为特征。在一些实施例中,此举可以图案化的方式使用用于将基板的一个或多个表面暴露于一个或多个能量源的构件来实现。在一个实施例中,待图案化或改变的表面部分可经热制程处理。将磁性物质加热至高于它的居里温度的一温度可藉由未对准物质内的磁矩来消除物质中的任何磁性。热能导致原子能阶上自旋对准的不规则化,这破坏物质的固有磁场。根据图案来选择性加热磁性物质的部分将产生物质中高磁活动性及低磁活动性的图案。
在其他实施例中,基板的磁性性质可藉由暴露于高能粒子(诸如,离子、自由基及中性粒子)来改变。在一些实施例中,具有磁表面的基板可由离子轰击。在其他实施例中,基板可用离子注入。轰击基板而不注入离子将藉由将高能离子的动能移送至磁表面经由纯高能或热制程来改变磁性性质,藉此用每次碰撞来引发磁矩的差异不规则化。注入离子将经由高能以及组成效应来改变磁性性质。注入的离子(诸如,硼、磷及砷离子)将不仅在靠近注入位点使磁矩不规则,亦将它们本身的磁性性质赋予表面,进而导致经注入区域的改变的磁性性质。在其他实施例中,可将电性中性粒子注入到表面中。
高能离子可藉由对制程气体施加解离能量来产生。解离能量通常基于制程气体来选择,且对当(例如)在氩等离子体中时自原子解离电子或当在分子等离子体中自分子解离原子及断片有效。解离能量可视实施例而定以电容或感应RF功率、DC功率、电磁能或磁控溅射的形式施加。由解离能量所产生的离子可藉由使用电场来朝向基板加速,该电场藉由将DC或RF电偏压施加于基板支撑件或基板支撑件上方的气体入口或这两者而得以产生。离子亦可在处理腔室外部加速至所要能量。在一些实施例中,离子可经受质量选择或质量过滤制程,该制程可包含使离子通过经对准与所要运动方向正交的磁场。
氦、氢、氧、氮、硼、磷、砷、氟、硅、铂、铝或氩的离子可用以改变基板表面的磁性性质。这些元素的离子通常藉由将包含该元素的气体提供给腔室并对该气体施加电场而产生。硼、磷及砷离子可藉由将一种或多种氢化物(诸如,硼烷、磷化氢或胂或其低碳寡聚物)提供给腔室并施加电场而产生。电场为达离子化原子的目的可电容地或感应地经耦合,且可为DC放电场或交变电场(诸如,RF场)。或者,可将微波能量施加于含有这些元素中任何元素的前体气体以产生离子。大体而言,小于5keV的离子能量受到磁性介质注入的青睐,诸如在约0.2keV与约4.8keV之间(例如约3.5keV)。在一些实施例中,含有高能离子的气体可为等离子体。
可以一速率将包含上文所指示的元素中的一种或多种的气体提供给离子化腔室,该速率取决于腔室的大小,该腔室的大小又取决于基板表面的待处理区域。大体而言,对腔室提供约10sccm与约500sccm之间的一流率。腔室压力通常保持在约4毫托尔与约100毫托尔之间,诸如约10毫托尔。腔室将通常具有彼此平行相对的基板支撑件及气体分配器。将在约50V与约500V之间的一电偏压施加于基板支撑件、气体分配器或此两者,以用所要能量朝向基板支撑件加速离子。在一些实施例中,亦使用电偏压来离子化制程气体。在其他实施例中,使用第二电场来离子化制程气体。在一个实施例中,提供高频RF场及低频RF场来离子化制程气体并偏压基板支撑件。高频场在13.56MHz的频率及约200W与约5,000W之间的一功率电平下提供,且低频场在约1,000Hz与约10kHz之间的一频率及约50W与约200W之间的一功率电平下提供。高能离子可藉由经由在约50W与约500W之间的RF功率所供电的感应线圈来提供再循环路径而由感应地耦合的电场产生。如上文所述,如此产生的离子将通常藉由偏压基板或气体分配器而朝向基板加速。
在一些实施例中,离子的产生可经脉冲发送。功率可施加于等离子体源历时所需时间,且随后将其中断历时所需时间。可以所需频率及工作循环对功率循环重复所需循环次数。在诸多实施例中,可以在约0.1Hz与约1,000Hz之间的一频率(诸如,在约10Hz与约500Hz之间)脉冲发送等离子体。在其他实施例中,可用在约10%与约90%之间的一工作循环(每一循环的供电时间与未供电时间的比率)(诸如,在约30%与约70%之间)来进行等离子体脉冲发送。
包含氦、氢、氧、氮、硼、氟、氩、硅、硫、铝、锂、氖、锗及碳的前体可用以产生用于注入至基板中的离子及中子。氦、氢、氧、氮、氟、氖及氩离子可藉由将电场施加于元素气体来产生。硼、硅、锗、铝、硫及碳离子可藉由将电场施加于小分子物质的气体来产生,这些小分子物质诸如低碳氢化物(硼烷、硅烷、锗烷、甲烷或上述物质的二聚体)、有机铝化合物(诸如三甲基铝)或含硫有机化合物(诸如硫醇)。不同物质的离子及中子的组合亦可藉由使用衍生自上述前体基团的混合物来使用。离子被给予动量以藉由在电场中加速来注入至基板中,该电场藉由偏压基板、气体分配板或此两者产生。
大体而言,基板的磁层的磁性性质可藉由暴露于能量载体(诸如,离子、自由基、中子、电子或光子)来改变。多数实施例中的载体的平均能量下降约0.2keV与约5.0keV之间,诸如约0.2keV与约4.8keV之间,或约2.0keV与约4.0keV之间,或约3.0keV与约4.0keV之间(诸如,约3.5keV)。在一些实施例中,每一能量载体将具有在上述范围中的一个或多个中的能量。在能量载体下的暴露将在通常小于约10分的持续时间(诸如在约10秒与约5分之间,例如在约30秒与约2分的间(诸如约60秒))内发生。在一些暴露中,持续时间将为约60秒或更少,例如在约1秒与约60秒之间(诸如约30秒或更少),例如在约1秒与约30秒之间。
第3A图为根据本发明的一实施例的装置300的平面图。第3A图的装置300适用于执行由本发明的实施例提供的制程。装置300包含耦合至工厂界面304的两个处理腔室306A及306B。尽管装置300经展示具有两个处理腔室,但是具有单一腔室或具有两个以上腔室的类似配置亦可实现。工厂界面304包含基板处置器308。工厂界面304亦耦合至基板固持件302。在固持件302与处理腔室306A及306B之间的工厂界面304将基板自固持件302移动至腔室306A及306B中,且随后移回至固持件302。工厂界面304及固持件302共同界定基板载入器310,基板载入器310具有在固持件302内的一个或多个平台以承载待在腔室306A及306B中处理的基板。
处置器308通常包含基座312、关节臂314及延伸部分316。基座312系可移动地附着至x运动总成318。x运动总成允许处置器308在第一方向上移动并进入耦合至基板载入器310的所有腔室。在一些实施例中,基板载入器310亦可包含y运动总成(第3A图中未图示),以使得处置器308能够在大体上与第一方向垂直的第二方向上移动。关节臂314系可旋转地安装于基座312上,且该关节臂通常经由基座312围绕与第二方向平行的轴线旋转。该臂为有关节的以延伸至固持件302及腔室306A及306B中,且自固持件302及腔室306A及306B收缩。
第3A图的实施例中的延伸部分316可旋转地安装于关节臂314上,且亦可经配置以围绕至少两个正交轴线旋转,这些正交轴线中至少一个大体上与关节臂314的一部分平行。在一些实施例中,延伸部分316围绕与第二方向平行的轴线旋转,该第二方向与基座312沿x运动总成318的第一运动方向垂直,且延伸部分316围绕与关节臂314的一部分平行的轴线旋转。在一些实施例中,上述旋转模式使得基板处置器308能够翻转基板以允许对基板的两个主表面处理。第3B图为第3A图的装置300的示意性侧视图。基板320经展示与延伸部分316啮合,且其在旋转或翻转的过程中置放于处理腔室306A内的基板支撑件322上。第3C图及第3D图展示延伸部分326及328的交替性实施例,其经配置以与两个不同基板324及340啮合。在第3C图的实施例中,延伸部分326藉由使用指状物330接触基板324的边缘336来与基板324啮合。指状物330与基板324的边缘336之间的摩擦使得延伸部分326能够以任何需要的方式操纵基板324而不与基板324脱离。在第3D图的实施例中,延伸部分328的类似指状物332经配置以接触基板340的边缘338,该边缘系由基板340中的开口334形成。指状物332与开口334的边缘338之间的摩擦类似地允许以任何必需方式操纵基板340。
在操作中,延伸部分(诸如,第3C图的延伸部分326及第3D图的延伸部分328)可根据其特定模式与基板啮合,且延伸部分可移动基板远离基板支撑件。若基板支撑件为平台,则如通常所实施一般,当延伸部分静置于平台上或当延伸部分悬置于平台上方举升销上时,延伸部分可与基板啮合。处置器(延伸部分附着至该处置器)可将基板移动至操纵位置,此后延伸部分可围绕处置器的轴线旋转以翻转基板。处置器可随后(视需要)将基板放回基板支撑件或举升销上以进一步对基板进行处理。
第4A图为概述根据本发明的另一实施例的方法400的流程图。第4B图至第4I图展示处于方法400的各种阶段的用于方法400的各种物品。在402处,将模板前体材料454涂覆于主基板452以获取图案。主基板452表示根据方法400将在连续基板上显影的主图案。主基板452可包含任何耐久材料(诸如金属、玻璃或陶瓷),且可经由任何适当制程,诸如任何图案化制程(例如藉由沉积或蚀刻制程或上述制程的组合)来用原始图案覆盖。在一个实施例中,主基板可藉由电子束制程来图案化。电子束制程可包含用电子束或电子束光刻直接雕刻,接着进行蚀刻。在另一实施例中,可使用UV光刻法来图案化主基板452。藉由使用任何适当制程(诸如,旋涂、模涂或气相沉积)可将模板前体材料454作为气体或液体涂覆于主基板452,且较佳地将其模板前体材料454涂覆以在主基板452上方形成平滑层。与主基板452连接的模板前体材料454的表面因此获取记录于主基板452中的图案。在一些实施例中,模板前体材料可为聚乙烯醇(PVA)。在其他实施例中,模板前体材料可为可固化聚合物(诸如弹性体)。
在404处,模板前体454可经固化以形成图案模板456。图案模板456将通常为柔性固体材料。固化制程可包含对模板前体454进行加热、干燥或UV处理。固化之后,图案模板456将较佳地具有足够机械强度以与主基板452脱离而不损坏或永久地扭曲图案模板456。举例而言,可藉由旋涂、模涂或挤压式涂布来将液体聚乙烯醇涂覆于主基板至约10nm与约1,000nm之间的一厚度(诸如在约50nm与约200nm之间,例如约100nm),且随后在约50℃与约300℃之间的一温度(诸如约100℃)下将液体聚乙烯醇固化历时约1分钟至5分钟以凝固。
在406处,将图案模板456与主基板452分离,且将图案模板456涂布抗蚀剂材料458。可藉由使用任何适当制程(诸如,旋涂、模涂、喷墨印刷或气相沉积)将抗蚀剂材料458作为液体或气体涂覆于图案模板456。抗蚀剂材料458经涂覆以在图案模板456上方形成平滑层。抗蚀剂层通常为薄的(诸如,在约10nm与约100nm之间,例如约60nm厚)。
在408处,将具有抗蚀剂涂层458的图案模板456应用于基板460的待磁性图案化的磁化层466。这通常经由抗蚀剂涂层458与磁化层466之间的实体接触的制程来进行,且可能需要精确对准制程。在一些实施例中,精确对准经由使基板460上的标记或固持基板的设备与图案模板456视觉或自动对准来实现。可选固化制程可在进行抗蚀剂涂层458与磁化层466之间的实体接触之后得以使用,且该制程可包含加热、干燥或暴露于电磁能。固化制程可促使粘附至磁化层466的抗蚀剂涂层458具有比该抗蚀剂涂层458粘附至图案模板456更大的力。
在410处,将图案模板456与抗蚀剂涂层458分离,使得具有自图案模板456获取的图案的抗蚀剂涂层458黏附至基板460。在412处,图案藉由以下步骤来显影:移除抗蚀剂涂层458的一部分,暴露下方的磁化层466。在可替换实施例中,因为随后处理可经配置以穿透抗蚀剂层的较薄部分同时由抗蚀剂层的较厚部分成功地阻挡,所以当涂覆抗蚀剂涂层而不暴露任何下伏表面时可使用抗蚀剂涂层。因此,在412处移除抗蚀剂涂层458的一部分为可选。在多数实施例中,抗蚀剂层的厚度将通常在约30nm与约100nm之间,诸如在约50nm与约70nm之间。在诸多实施例中,抗蚀剂层将具有厚部分及薄部分,薄部分经校准以允许入射能量或粒子且厚部分经校准以阻挡入射能量或粒子。因此,厚部分遮蔽基板表面中厚部分所覆盖的区域,而薄部分暴露薄部分所覆盖的区域。在一些实施例中,抗蚀剂层将包含具有第一厚度的厚部分及具有第二厚度的薄部分,其中该第一厚度与该第二厚度的比率在约1.3与约1.8之间,或在约1.4与约1.7之间(诸如,在约1.5与约1.6之间)。
在414处,如上文结合第1A图至第1D图所述,将能量462施加于基板460以改变磁化层466的未遮蔽部分464的磁性性质。尽管能量462经展示改变了未遮蔽部分464穿过磁化层460的总厚度,但是在一些实施例中可能有利地仅改变靠近磁化层460的表面的层的一部分。举例而言,可预期较深改变将产生磁畴的间的磁性性质的较明显差别。然而,另外可能不直接地与层460的表面垂直进行性质的改变。当能量462冲击于层460的表面上时,能量的效应可在一定程度上穿过层460横向行进,进而改变不在冲击的轨迹正下方的磁畴的磁性性质。此横向扩展将降级遮蔽部分边缘处的磁性性质,进而降低磁畴之间的边界的透明度。因此,所要改变的深度视层厚度与宽度的比率或经改变的未遮蔽部分的尺寸而定。在未遮蔽部分的膜厚度与宽度的比率较大的情况下,作为总膜厚度的一部分的所要改变的深度将较小。
在414处图案化之后,在416处移除抗蚀剂材料,进而使得基板具有具磁性性质的图案的磁化层460。抗蚀剂材料可藉由用不与下伏磁性材料反应的化学方法(诸如,干洗或灰化处理)蚀刻来移除,或藉由溶解于液体溶剂(诸如DMSO)中来移除。在一个实例中,由于不存在磁化层460上的永久沉积或对磁化层460的蚀刻,所以图案化之后磁化层的构形与图案化之前磁化层的构形大体上相同。
第5图为适用于本发明的实施例的腔室的等角图。第5图的腔室不仅适用于执行离子注入程序,而且可用以用高能离子簇射基板而不注入离子。处理腔室500包括腔室主体502,该腔室主体具有封闭处理区域504的底部524、顶部526及侧壁522。基板支撑件总成528由腔室主体502的底部524加以支撑,且基板支撑件总成528经调适以接收基板506以用于处理基板506。气体分配板530耦合至朝向基板支撑件总成528的腔室主体502的顶部526。泵送口532系界定于腔室主体502中且耦合至真空泵534。真空泵534系经由节流阀536耦合至泵送口532。制程气源552耦合至气体分配板530以为在基板506上执行的制程供应气体前体化合物。
第5图中描绘的腔室500进一步包括等离子体源590。等离子体源590包括安装于腔室主体502的顶部526的外部的一对单独外部凹形导管540、540’,这些外部凹形导管彼此横向安置或彼此正交安置。第一外部导管540具有第一末端540a,该第一末端经由形成于顶部526中的开口598耦合至腔室主体502中的处理区域504的第一侧面中。第二末端540b具有开口596,该开口耦合至处理区域504的第二侧面中。第二外部凹形导管540b具有第一末端540a’及第二末端540b’,第一末端540a’具有耦合至处理区域504的第三侧面中的开口594,第二末端540b’具有耦合至处理区域504的第四侧面中的开口592。在一个实施例中,第一外部凹形导管540及第二外部凹形导管540’被配置成彼此正交,藉此提供每一外部凹形导管540、540’的两个末端540a、540a’及540b、540b’,这些外部凹形导管围绕腔室主体502的顶部526的周边以约90度的间隔安置。外部凹形导管540、540’的正交配置允许等离子体源横跨处理区域504均匀地分配。预期第一外部凹形导管540及第二外部凹形导管540’可具有用以控制处理区域504中等离子体分配的其他配置。
磁性可渗透环形磁心542、542’环绕外部凹形导管540及外部凹形导管540’中的相应一个的一部分。导电线圈544、544’经由各自阻抗匹配电路或元件548、548’耦合至各自RF功率源546、546’。每一外部凹形导管540、540’为分别由绝缘环形圈550、550’中断的中空导电管,环形圈550、550’中断各别外部凹形导管540、540’的两个末端540a、540b(及540a’、504b’)之间的原本连续的电路径。在基板表面上的离子能量由RF偏压产生器554控制,RF偏压产生器554经由阻抗匹配电路或元件556耦合至基板支撑件总成528。
自制程气体源552供应的包括气体化合物的制程气体经由架空气体分配板530引入至处理区域504中。RF功率源546自功率施加器(亦即,磁心542及线圈544)耦合至在导管540中供应的气体,这在第一封闭环形路径中产生循环等离子体电流;功率源546’可自其他功率施加器(亦即,磁心542’及线圈544’)耦合至在第二导管540’中的气体,这在第二封闭环形路径中产生循环等离子体电流,该第二封闭环形路径与该第一环形路径横向(例如,正交)。第二环形路径包括第二外部凹形导管540’及处理区域504。这些路径中的每一个中的等离子体电流以各自RF功率源546及RF功率源546’的频率振荡(例如,反向),这些频率可彼此相同或彼此稍微偏移。
在操作中,将制程气体自制程气源552提供给腔室。视实施例而定,制程气体可包含待离子化及被导引至基板506的惰性或反应性气体。实质上,可容易地离子化的任何气体可用于腔室500中以实施本发明的实施例。可使用的一些惰性气体包括氦、氩、氖、氪及氙。可使用的反应性或可反应气体包括硼烷及其寡聚物(诸如,二硼烷)、磷化氢及其寡聚物、胂、含氮气体、含氢气体、含氧气体、含碳气体及上述气体的组合。在一些实施例中,可使用氮气、氢气、氧气及上述气体的组合。在其他实施例中,可使用氨及其衍生物、类似物及同系物,或可使用烃(诸如,甲烷或乙烷)。在其他实施例中,可使用含卤素的气体(诸如像BF3的含氟或氯气体)。可容易地蒸发且不沉积与基板的磁化层大体上相同的材料的任何物质可用以经由轰击或注入来改变其磁性性质。可使用大多数氢化物,诸如硅烷、硼烷、磷化氢、甲烷及其他氢化物。又,可使用二氧化碳及一氧化碳。
每一RF功率源546、546’的功率经操作以使得其组合效应有效地解离自制程气源552供应的制程气体并在基板506的表面上产生所需离子流。在选定电平下控制RF偏压产生器554的功率,在该电平下自制程气体解离的离子能量可朝向基板表面加速,且以所需离子浓度在基板506的顶表面下方的期望深度处注入。举例而言,使用相对低的RF功率(诸如,小于约50eV)可获得相对低的等离子体离子能量。可在距离基板表面约与约之间的一浅的深度下注入使用低离子能量解离的离子。或者,可将使用自高RF功率(诸如,高于约50ev)提供及产生的高离子能量解离的离子注入至基板中距离基板表面大体上超过深度的深度。
鉴于需要中断磁层的选定部分中原子自旋的对准,故使用相对高能量(诸如,在约200eV与约5keV之间,或在约500eV与约4.8keV之间,诸如,在约2keV与约4keV之间(例如,约3.5keV))的离子注入可能适用。受控RF等离子体电源及RF等离子体偏压功率的组合解离气体混合物中的电子及离子,对离子赋予所需动量并在处理腔室500中产生所需离子分配。离子经偏压并驱动至基板表面,藉此以所需离子浓度、分配及距离基板表面的深度将离子注入至基板中。在一些实施例中,可以约1015cm-3与约1020cm-3之间的一浓度,以约2nm至约100nm的范围的一深度视磁层的厚度来注入离子。
将离子深深地注入磁层中实现对经注入区域的磁性性质的大多数改变。浅注入(诸如,在100nm厚的层中注入2-10nm)将使层的重要部分在经注入区域的下方且具有对准的原子自旋。使用具有在约200eV与约1,000eV之间的能量的离子的这种浅注入将产生对磁性性质的部分改变。因此,强加的改变程度可藉由调整注入的深度来选择。经注入离子的大小亦将影响注入至一给定深度所需的能量。举例而言,以约200eV的平均能量注入磁性材料中的氦离子将使磁性材料去磁约20%至约50%,且以约1,000eV的平均能量注入的氩离子将使其去磁约50%至约80%。
本发明的实施例提供同时在腔室中处理多个基板。第6A图至第6C图为具有多个基板支撑件的不同实施例的处理腔室的示意性透视图。在第6A图中,安置于腔室606中基板支撑件604上的基板载体602具有多个基板位置。腔室606包含一个或多个制程气体源610及一个或多个偏压产生器608及612。在一些实施例中,基板载体(诸如,基板载体602)可承载2至20个基板以用于在腔室中同时处理。此基板载体可适用于本文揭示的需要使用处理腔室的实施例中的任一个。
可将第6A图的基板载体移入处理腔室606及移出处理腔室606,以藉由使用基板处置器(诸如上文结合第3A图至第3D图所述的基板处置器)来承载多个基板。举例而言,回头参阅第3A图及第3B图,基板处置器308可将个别基板自固持件302移动至载体(诸如,第6A图的载体602),且随后将载体602移动至处理腔室306A或处理腔室306B中的一个中。若需要在这些基板中的一个或多个的一个以上主表面上进行处理,则如上文所述基板处置器308可自载体602撷取个别基板并翻转这些基板,进而将它们放回载体602上。基板处置器(诸如,结合第3A图描述的基板处置器)可在基板相对侧上基板的外部边缘处接触个别基板,其中指状物330与由基板表面界定的平面大体上平行,该基板处置器围绕与基板的直径平行的一轴线使基板180旋转,并将基板放回固持件上。
若欲将电偏压施加于基板,则对基板固持件602使用导电材料将有助于此处理。可将偏压产生器(诸如,第6A图的产生器608)耦合至基板支撑件,且若基板固持件602由导电材料制成,则基板固持件602将电场传输至基板。或者,可将偏压产生器(诸如,产生器612)耦合至腔室的盖子或基板固持件上方的气体分配器。
第6B图的腔室630包含用于固持具有开口675的一个或多个基板650的基板固持件640的可替换实施例。基板固持件650在每一开口675的边缘670处接触每一基板650。若欲将电偏压施加于基板650,则可在基板固持件640与腔室630的壁之间连接偏压产生器660,在一些实施例中基板固持件640可为杆,腔室630的壁为接地的。大体而言,对所有实施例来说,若欲使用RF功率,则可包括阻抗匹配装置以防止功率反射。或者,可使用DC偏压产生器。基板固持件640可涂布石墨或硅以保护其不受不良等离子体效应影响。另外,使基板固持件640及基板固持件640表面高度导电将有助于在基板固持件640与基板650之间形成优良电接触。可使用夹钳655或其他便利构件将基板650固定于适当位置;夹钳655将不仅使基板650固定于适当位置,而且将确保基板650与基板固持件640之间的优良电连接。基板固持件640经配置以承载诸多基板650(为便于说明仅展示三个)。另外,腔室630可经配置以使用一个以上基板固持件640,每一基板固持件640装载有用于同时处理的一个或多个基板650。
通常将基板固持件640移入及移出腔室630以有助于基板650的装载及卸载。基板650的装载及卸载可由任何便利构件实现。在一个实施例中,可经由腔室的壁中的开口自腔室630撷取基板固持件640,且具有延伸部分(诸如,第3C图的延伸部分)的机器人可自固持件640个别地移除基板650。在另一实施例中,固持件640可将基板650存储于固持托架(未图示)中,且可随后将固持件640自开口675抽出。以此方式接收基板的固持托架亦可充当用于承载基板650以用于进一步处理的架子。
第6C图中的腔室680包含基板固持件682的另一实施例,基板固持件682用于处理具有开口686的一个或多个基板684。基板固持件682包含框架688,基板684安装于框架688上。在第6C图的实施例中,基板684藉助于延伸部分690安装于框架688上,延伸部分690可与第6D图的延伸部分大体上类似。延伸部分690在至少两个位置中接触每一开口686的边缘692以在每一基板684上产生摩擦固持力。第6C图的基板固持件682使得能够藉由将两个主表面(诸如,前侧及背侧)暴露于处理环境来同时处理每一基板684的两个主表面。与第6C图的基板固持件682类似的基板固持件可适用于涉及将基板暴露于非定向处理环境的制程(诸如,涉及暴露于周围制程气体或等离子体或暴露于腔室内周围能量的制程)中。可适用此类基板固持件的制程的实例包括但不限于离子注入制程、CVD制程、等离子体制程及涉及非定向热能的热制程(诸如,炉式加热制程)。若使用额外机构来定向框架688,则与第6C图的基板固持件682类似的基板固持件可适用于涉及定向处理环境的制程中。举例而言,可将框架688安装于将有助于使框架688旋转的转子(未图示)上。将框架安装于转子上将有助于翻转安装于框架688上的基板684,以将每一基板684的两个主表面暴露于定向处理环境。在一些实施例中,框架688可为可携式,与将框架耦合至外部功率源(诸如,RF功率源696)的基座694分离。可分离框架688可自腔室680被移除且传送至别处以进一步处理基板684。
第7图示意地示出根据本发明一实施例的群集工具700的平面图。群集工具700包含耦合至主框架的包含两个移送室708及733的多个处理腔室。
群集工具700包含与负载锁定腔室704选择性连通的前端环境702。一个或多个支架701耦合至前端环境702。一个或多个支架701经配置以储存基板。工厂界面机器人703安置于前端环境702中。工厂界面机器人703经配置以在支架701与负载锁定腔室704之间移送基板。
负载锁定腔室704提供在前端环境702与第一移送室总成710之间的真空界面。通常将第一移送室总成710的内部区域保持处于真空条件,且该内部区域提供一中间区域,在该中间区域中将基板自一腔室传送至另一腔室和/或至负载锁定腔室。
在一个实施例中,第一移送室总成710被分隔为两个部分。在本发明一实施例中,第一移送室总成710包含移送室708及真空延伸腔室707。移送室708及真空延伸腔室707耦合在一起且彼此形成流体连通。在处理期间,通常将第一移送室总成710的内部体积保持处于低压或真空条件下。负载锁定腔室704可经由狭缝阀705及706分别连接至前端环境702及真空延伸腔室707。
在一个实施例中,移送室708可为具有多个侧壁、一底部及一盖子的多角形结构。多个侧壁可具有穿过这些侧壁形成的开口,且这些侧壁经配置以与处理腔室、真空延伸腔室和/或通过腔室(pass through chamber)连接。第7图中展示的移送室708具有方形或矩形形状且被耦合至处理腔室711、处理腔室713、通过腔室731及真空延伸腔室707。移送室708可经由狭缝阀716、718及717分别与处理腔室711、处理腔室713及通过腔室731选择性连通。
在一个实施例中,可将中央机器人709在形成于移送室708底部上的机器口处安装于移送室708中。中央机器人709安置于移送室708的内部体积720中且经配置以在处理腔室711、处理腔室713、通过腔室731及负载锁定腔室704之间传送基板714或基板载体741。在一个实施例中,中央机器人709可包括用于固持基板的两个叶片,每一叶片安装于独立可控机械臂上,该独立可控机械臂安装于同一机器人基座上。在另一实施例中,中央机器人709可具有垂直移动叶片的能力。
真空延伸腔室707经配置以向第一移送室总成710提供至真空系统的界面。在一个实施例中,真空延伸腔室707包含底部、盖子及侧壁。压力改变口可形成于真空延伸腔室707的底部上且经配置以适应于真空泵系统。开口形成于侧壁上,以使得真空延伸腔室707与移送室708形成流体连通且与负载锁定腔室704选择性连通。
在一个实施例中,真空延伸腔室707包含经配置以储存一个或多个基板或基板固持件714的架子(未图示)。直接或间接连接至移送室708的处理腔室可将其基板或基板固持件储存于架子上且使用中央机器人709来移送这些基板或基板固持件。
群集工具700进一步包含第二移送室总成730,第二移送室总成730藉由通过腔室731连接至第一移送室总成710。在一个实施例中,与负载锁定腔室类似,通过腔室731经配置以在两个处理环境之间提供一界面。在此状况下,通过腔室731在第一移送室总成710与第二移送室总成730之间提供一真空界面。
在一个实施例中,将第二移送室总成730分隔为两个部分以最小化群集工具700的占地面积。在本发明一实施例中,第二移送室总成730包含彼此形成流体连通的移送室733及真空延伸腔室732。在处理期间,通常将第二移送室总成730的内部体积保持处于低压或真空条件下。可经由狭缝阀717及狭缝阀738分别将通过腔室731连接至移送室708及真空延伸腔室732,以使得可将移送室708内的压力保持处于不同真空水平。
在一个实施例中,移送室733可为具有多个侧壁、一底部及一盖子的多角形结构。多个侧壁可具有在这些侧壁中形成的开口且经配置以与处理腔室、真空延伸腔室和/或通过腔室连接。第7图中展示的移送室733具有方形或矩形形状且与处理腔室735、处理腔室736、处理腔室737及真空延伸腔室732耦合。移送室733可经由流狭缝阀741、740及739分别与处理腔室735、736选择性连通。
中央机器人734在形成于移送室733的底部上的机器口处安装于移送室733中。中央机器人734安置于移送室733的内部体积749中且经配置以在处理腔室735、处理腔室736、处理腔室737及通过腔室731之间传送基板714或基板载体741。在一个实施例中,中央机器人734可包括用于固持基板的两个叶片,每一叶片安装于独立可控机械臂上,该独立可控机械臂安装于同一机器人基座上。在另一实施例中,中央机器人734可具有垂直移动叶片的能力。
在一个实施例中,真空延伸腔室732经配置以在真空系统与第二移送室总成730之间提供一界面。在一个实施例中,真空延伸腔室732包含底部、盖子及侧壁。压力改变口可形成于真空延伸腔室732的底部上且经配置以适应于真空系统。开口穿过侧壁形成,以使得真空延伸腔室732与移送室733形成流体连通且与通过腔室731选择性连通。
在本发明一实施例中,真空延伸腔室732包括与上文结合真空延伸腔室707描述的架子类似的架子(未图示)。直接或间接连接至移送室733的处理腔室可将基板或基板固持件储存于架子上。
在一个实施例中,群集工具700可经配置以执行硬盘驱动器基板制造过程。处理腔室711可为经配置以在PVD制程之前执行清洁制程的预清洁腔室。处理腔室713可为经配置以将磁性材料薄膜沉积于一个或多个基板上的PVD腔室,其中可同时处理多个基板。PVD腔室713可经配置以在同一腔室中藉由两个不同PVD制程来沉积软磁膜及软磁膜上方的磁膜。处理腔室735、736、737可经调适以图案化、处理及涂布硬盘驱动器基板。举例而言,在一个实施例中,处理腔室735可为物理压印腔室,腔室736可为离子注入及抗蚀剂剥离腔室,且腔室737可为藉由CVD或PECVD制程来涂布基板的涂布腔室。
基板载体(诸如载体741)可与任何处理架构一起使用以使得能够同时处理多个基板(诸如基板742)。在一个实施例中,基板载体可为能够耐受由本文描述的制程所产生的处理环境的大体上硬质材料的薄片。在一些实施例中载体可为玻璃或硅锻坯。在其他实施例中,载体可为陶瓷或复合材料(诸如碳复合物)。在一些实施例中,载体可为涂布抗制程材料的锻坯(诸如,玻璃涂布或陶瓷涂布的锻坯)。载体可为通常用于半导体制造过程的300mm基板。在一些实施例中,载体可经成形以有助于基板的处理及处置。举例而言,载体可具有凸起或降低部分以有助于操纵安置于载体上的个别基板。在一个实施例中,载体上的每一基板位点可具有围绕该位点形成的沟道以允许具有抓持延伸部分的机器人接触安置于该位点上的基板的边缘。在另一实施例中,载体可具有形成于基板位点的中心的凹陷以允许具有抓持延伸部分的机器人接触具有中心开口的基板的内部边缘。在一些实施例中,可降低载体上的基板位点以防止当传送载体时基板在载体上移动。
通常,在密封腔室中处理基板,该密封腔室具有用于支撑安置于其上的基板的台座。台座可包括基板支撑件,该基板支撑件具有安置于其中的电极以在处理期间静电地固持基板抵靠基板支撑件。对于耐受较高腔室压力的制程而言,台座或者可包括具有与真空源连通的开口的基板支撑件以在处理期间牢固地固持基板或基板固持件抵靠基板支撑件。
可在腔室711、713、735、736或737中的任一个中执行的制程包括沉积、注入及热处理制程,及其他制程。在一个实施例中,腔室(诸如,腔室711、713、735、736或737中的任一个)经配置以在一个基板上或同时在多个基板上执行溅射制程。溅射制程可用以沉积本文论述的磁层中的任一个。通常包含待在基板上沉积的材料的靶材经支撑处于基板上方(通常固定至腔室的顶部)。在基板与靶材之间供应由气体(诸如氩)形成的等离子体。靶材经偏压,进而使得等离子体内的离子朝向靶材加速。冲击靶材的离子使得材料自靶材脱离。脱离的材料经吸引朝向基板且在基板上沉积一材料膜。第二腔室可另外经配置以执行如本文别处描述的改性制程以改变沉积于邻接腔室中的磁层的磁性性质。第三腔室可经配置以藉由化学气相沉积制程来沉积保护层或润滑层中的一个或多个,该化学气相沉积制程可为等离子体增强化学气相沉积制程。
在另一实施例中,可将磁层沉积于单独工具中,且随后将基板移送至群集工具(诸如第7图中展示的群集工具)以在一腔室中遮蔽、在第二腔室中表面改性且在第三腔室中沉积保护层及润滑层。
如第7图中所示,若腔室经配置以在基板固持件上处理多个基板,则可藉由翻转在两个主表面上处理基板。在一个实施例中,可藉由用第二基板固持件覆盖基板来执行安置于第一基板固持件上的多个基板的翻转,该第二基板固持件与该第一基板固持件大体上相同且与其适当对准以形成基板翻转总成。装有叶片的机器人可牢固地握取基板翻转总成、围绕基板翻转总成的直径轴线使基板翻转总成旋转180°且随后移除第一基板固持件,使得基板安置于已翻转的第二基板固持件上以暴露每一基板的先前隐藏的主表面。在另一实施例中,机器人叶片(诸如,第3C图中图示的机器人叶片)可用以在基板的外部边缘处握取每一基板,其中指状物330在与由基板形成的平面大体上共面的方向上延伸。可藉由围绕由基板的直径所界定的轴线旋转180°来翻转基板。
在一个实施例中,中央机器人709及734中的一个或多个可经配置以翻转个别基板或基板固持件。机器人可装配有基板处置延伸部分(诸如,结合第3C图及第3D图描述的延伸部分),且可将延伸部分可旋转地附着至机器人的个别机械臂以有助于围绕基板的直径使基板旋转。另外,机器人可装配有延伸部分且一起翻转基板载体以同时翻转安置于其中的所有基板,这些延伸部分经设计以对两个基板载体施加压缩力,这两个基板载体具有安置于其中的基板。
第8图为根据一实施例的多腔室处理系统800的俯视图。处理系统包括具有侧壁822的移送室824,侧壁822较佳地由一片材料(诸如铝)加工或以其他方式制造。在操作期间盖子(未图示)支撑于侧壁822上以形成真空外壳。移送室824的侧壁822支撑处理腔室806及负载锁定腔室812。侧壁822在每一侧面上界定至少两个通道810,经由通道810提供至系统上其他腔室的入口。处理腔室806及负载锁定腔室812中的每一个包括一个或多个狭缝阀开口及狭缝阀,狭缝阀使得处理腔室806、负载锁定腔室812与移送室824之间能够连通,同时亦在此等腔室中的每一个内提供环境的真空隔离以使得能够在系统内形成分段式真空。移送室824的底部826界定中心通道(未图示),在该中心通道中基板处置器820(诸如,机器人总成)延伸且安装至移送室824的底部。另外,底部826界定多个通道814,经由这些通道一或多个狭缝阀致动器(未图示)延伸且经可密封地安装。气体净化口816经由移送室824的底部826得以安置以在向下泵送期间提供净化气体。
处理系统800包括前端承载区域802,在前端承载区域802中容器809经支撑以用于处置基板或基板固持件。基板处置器813自容器809撷取基板或基板固持件及将基板或基板固持件装载至负载锁定腔室812中。使用负载锁定腔室812以有助于经由移送室侧壁822中通道814中的两个通道来将基板输入移送室824及自移送室824输出基板。后端单元808为处理系统800提供公用支持,诸如功率产生器、功率分配及气体分配。
处理系统800支持耦合至移送室824的侧壁822的多个基板处理室806。每一处理腔室可经配置以执行若干基板处理操作(诸如,图案化、注入、藉由溅射的沉积及藉由化学气相沉积(可为等离子体增强化学气相沉积)的沉积)中的一个,以及其他基板制程(包括清洁及定向)。在一些实施例中,腔室806中的至少一个被配置为离子轰击腔室(诸如,第5图的腔室)。
在一个实施例中,处理系统800包括经配置以沉积具有所需磁性性质的层的一个或多个物理气相沉积腔室。可将这种腔室配置为溅射腔室,溅射腔室具有基板支撑件及位于基板支撑件上方的溅射靶材。可将所有磁层沉积于一腔室中,或可将腔室专用于沉积为磁层的每一材料。举例而言,可将软磁层沉积于第一腔室806中且将磁性记录层沉积于第二腔室806中。
第8图的基板处置器820为经耦合的纵列式机器人,该机器人可操作以同时沉积一个基板或基板固持件且自一对纵列式处理腔室806中的每一个或纵列式负载锁定腔室812撷取一个基板或基板固持件。在一可替换实施例中,可用一对未耦合的单一处置器来替代经耦合的纵列式处置器820,以使得能够独立操作成纵列式对的两个腔室806。这种未耦合的处置器将使得能够自成纵列式对的两个腔室806中的一个腔室806撷取基板或基板固持件且将该基板或基板固持件沉积于成同一纵列式对的两个腔室806中的另一腔室806中。另外,这种未耦合的单一处置器可经配置以具有第3C图或第3D图的装置以使得能够在处理系统800中操纵安置于基板载体上的多个基板中的单一基板。又,如本文所描述,每一未耦合的单一处置器可经配置以围绕基板或基板载体的直径使每一基板或基板载体旋转。
在一个实施例中,处理系统800包括一个或多个物理图案化腔室,这些腔室经配置以藉由物理图案移送或压印制程在一个或多个基板上产生经图案化的掩模。可将经图案化的模板提供给待涂布抗蚀剂材料的第一腔室806,且可在第二腔室806中将该经图案化的模板施加于一个或多个基板以移送经图案化的抗蚀剂且随后将抗蚀剂溶解。
可包括于处理系统800中的其他类型的腔室806为翻转腔室、用于在磁性图案化制程后在基板上沉积保护层及润滑层的化学气相沉积腔室、湿式清洁腔室及热处理腔室,在翻转腔室中可藉由本文描述的技术中的任一种来翻转承载多个基板的基板固持件。
在一个实施例中,提供具有多个腔室组的处理系统(诸如,处理系统800)。第一腔室组可经配置以用于在承载于基板载体上的一个或多个基板上形成具有磁性性质的一个或多个层。举例而言,第一腔室组可为溅射腔室。第二腔室组可经配置以用于将经图案化的抗蚀剂层涂覆于承载于基板载体上的基板。第二腔室组可包括经配置以将抗蚀剂材料涂覆于经图案化的模板的腔室及用于将经涂布的模板施加于一个或多个基板的腔室。第三腔室组可经配置以用于改变具有涂覆于其的经图案化的抗蚀剂材料的基板的磁性性质、移除抗蚀剂及涂布基板。第三腔室组可包括离子注入腔室、等离子体腔室及CVD腔室。
第7图及第8图的配置允许执行多个基板处理步骤而不破坏真空或将基板暴露于空气。在处理期间可将第7图的移送室708及第8图的移送室824保持处于真空下,以允许在腔室间移送基板(其可能在基板固持件上)以用于处理。在一个实施例中,可在一腔室中在基板上形成一个或多个磁层,可在另一腔室中图案化该一个或多个磁层,且可在另一腔室中将该一个或多个磁层暴露于粒子或能量以产生磁性图案,所有这些操作皆不破坏真空。
在一个实施例中,处理系统(诸如,处理系统800)可具有耦合至该处理系统的六个处理腔室,处理腔室具有单一基板处置机器人,该机器人经配置以操纵安置于基板载体上的个别基板或载体本身且翻转基板或载体。在一个实施例中,六个处理腔室可为湿式清洁腔室、溅射腔室、物理压印腔室、离子注入腔室、抗蚀剂剥离腔室及CVD腔室,该CVD腔室可为等离子体增强CVD腔室。在此实施例中,可连续地在每一腔室中处理具有安置于其上的多个基板的基板载体以产生如本文所述的硬盘驱动器基板。在一些实施例中,可使用基板支撑件将基板安置于腔室中,该等基板支撑件将每一基板的两个主表面同时暴露于处理环境。
第9A图及第9B图为根据本发明一实施例的基板载体900的图解说明。第9A图以透视图展示基板载体900,且第9B图展示耦合至支撑件910的基板载体900。基板载体900具有用于在其上安置基板的多个基板位点902。在第9A图的实施例中,将基板位点902图示为载体900的凹区。每一基板位点902具有接触部分904以用于使基板稳定。基板将通常静置于接触部分904上,且朝向凹位点902的轮缘向外延伸,进而在基板的下方产生空腔。
第9B图以横截面图示耦合至支撑件910的载体900。接触部分904具有延伸部分906以用于与基板中心处的开口紧密配合。载体900亦具有经由接触部分904安置的多个导管908。导管908与类似地安置于支撑件910中的导管912形成流体连通。导管912及导管908提供用于将冷却介质施加于安置于基板位点902中的每一基板的下的空腔的构件。对于本文描述的可能需要冷却基板的制程而言,可经由导管912及导管908将冷却气体提供给基板的后侧。在一个实施例中,改变基板的磁表面可将其温度增大至足以降级抗蚀剂材料或威胁磁层的完整性的水平。在此实施例中,在处理期间可藉由将冷却非反应性气体(诸如,氦、氩、氢或氮)应用于基板来冷却基板。
在基板载体的一可替换实施例中,基板位点可为载体的原本平坦表面上方的突出物。突出物可经成形以与基板中的开口紧密配合,以使得将基板固持于载体的平坦表面上方。突出物可包含与上文所述用于冷却每一基板的后侧的导管类似的导管。
在第9B图的基板载体中,将导管908图示为以与接触部分904的主轴成90°的角度自接触部分904向外射出气体。在可替换实施例中,导管908可装配有喷嘴来以任何所需方式使气体流成形或导引气体流。举例而言,喷嘴可以一向上角度朝向基板使气体转向。在一可替换实施例中,可形成具有向上角度的导管904以朝向基板导引冷却气体。
在另一实施例中,可藉由离子注入来均匀地非晶化磁化层而无需使用经图案化的掩模。随后可用热能或其他经导引的能量来选择性地处理非晶层以根据图案再磁化磁化层的磁畴。在一些实施例中,可根据图案藉由用经导引的能量处理来再结晶磁化层的部分。将经导引的能量图案化且将基板暴露于经图案化的能量。在暴露于能量的基板的区域中,原子重组为结晶矩阵,进而形成由非磁性、非晶区域隔开的磁畴。
在一个实施例中,将具有磁化层的基板安置于处理腔室中。将磁化层暴露于等离子体以使磁化层的磁性性质自第一值改变至第二值。将经图案化的能量导引至磁化层上以将层的部分暴露于能量。形成于能量中的图案根据待在层的表面中定义的磁畴在层的表面上形成能通量的局部变化。能量将磁性性质自第二值改变至第三值,进而根据在能量中显影的图案将暴露于能量的区域与未暴露于能量的区域区分开。在一些实施例中,暴露于能量的区域经至少部分地再结晶,且其晶体结构与未暴露于能量的区域的晶体结构不同。在其他实施例中,将磁化表面的部分暴露于经图案化的能量大体上复原磁性性质。在一些实施例中,磁性性质的第三值并非显着地不同于第一值,以使得在暴露于经图案化的能量之后,磁化层包含具有具第一值的磁性性质的磁畴,该磁畴由其中磁性性质具有不同于第一值的第二值的磁畴隔开。
可将离子部分地注入磁化层或注入磁化层的各处。在多数实施例中,将会注入磁化层穿过至少约其厚度的50%,至高达其厚度的100%。在约1015cm-2与约1018cm-2之间的剂量通常足以大体上减小或消除磁化层的磁性性质。
可使用电磁能、聚焦离子或电子束将经图案化的能量输送至基板。如在本领域中已知,可使用激光场发射极阵列形成经图案化的电磁能,该激光场发射极阵列系由根据所需图案隔开的发射极形成。由场发射极阵列所产生的光束图案可随后藉由通过透镜来聚焦以达成所需尺寸及能量分配。举例而言,可使用一个或多个透镜来使激光发射极的阵列聚焦20倍以在每一侧面上产生1cm的激光光束阵列,每一光束具有约50nm的尺寸且与其相邻光束间隔约50nm的距离,该激光发射极的阵列在每一侧面上组织为20cm的直线阵列,其中每一发射极发射约1μm宽度的激光光束且与其相邻发射极间隔约1μm的距离。视激光的波长而定,可使用衍射光栅多路传输单一激光光束以产生激光的直线图案。
在其他实施例中,如在本领域中已知,电子发射极的场阵列可用以发射经图案化的电子束。与上述激光实施例类似,可使用一个或多个静电透镜将经图案化的电子束阵列聚焦至所需尺寸及分配。或者,可使用金属箔膜将单一电子束多路传输为衍射矩阵。
最后,可在等离子体腔室中产生离子,该等离子体腔室具有多孔板以产生离子流的漫射图案,可使用一个或多个静电透镜使该等离子流聚焦。
根据上文所述实施例产生的经图案化的能量经导引至基板的非晶化层。改变基板与经图案化的能量的相对位置以暴露待处理的基板的所有区域。举例而言,将基板的第一区域暴露于经图案化的能量,随后将基板的第二区域暴露于经图案化的能量。根据图案相继处理待暴露的区域以实现再磁化。在一些实施例中,可同时处理基板的多个区域。举例而言,可定义基板的象限,且使用四种单独能源以将经图案化的能量同时导引至基板的四个不同区域。在其他实施例中,可使用本文描述的方法及装置在一个腔室中同时处理多个基板。
在一些实施例中,可脉冲发送经图案化的能量。在一个实施例中,如上文所述,可脉冲发送激光源或场激光源以产生经脉冲图案化的能量。每一脉冲根据图案部分地再磁化基板的区域。亦可脉冲发送电子束源或场电子束源。在一些实施例中,因为可在输送下一脉冲之前经由磁化层消散与每一脉冲相关联的能量,进而避免能量累积及穿过欲保留未经处理的层的区域的高通量能量消散,所以经脉冲发送的能量将受到青睐。
实例
进行实验以判定在给定偏压下抗蚀剂对氦及硼离子的离子阻塞性质。
实例1-氦注入
用铁镍合金软磁层溅射玻璃基板至约100nm的厚度。将钴铬铂合金磁性薄膜层溅射于铁镍合金软磁层上至约20nm的厚度。藉由将氦气提供至处理腔室中使如上文所述制备的样品经受含氦离子的等离子体。处理腔室压力约为15毫托尔,RF偏压约为2kV,电源功率约为500W,以约300sccm的流率提供氦气且注入时间约为25秒。发现氦离子穿透厚度高达约85nm的抗蚀剂层。以此方式进行的氦离子的注入将经暴露部分的饱和磁通量密度自1.36特斯拉(T)降低至0.1T。尽管未用于此实例,但是可与氦一起提供第二气体以有助于等离子体形成。举例而言,除氦气之外,亦可以约16sccm的流率提供氩气。
在氦注入之后,样品经受热退火以分析经注入层的稳定性。在真空下在约5托尔至约10托尔的压力下在约100℃与约200℃下执行热退火历时约一小时。在100℃下的热退火进一步将经注入区域的饱和通量密度降低至约0.01T,且在200℃下的热退火进一步将饱和通量密度降低至约0.03T。因此,在藉由离子注入进行磁性改变之后的热退火制程进一步增大基板的处理区域与未处理区域之间的磁性性质的差异。
实例2-硼注入
与实例1中使用的样品类似的样品经受硼离子注入。藉由对含有样品的处理腔室提供BF3气体来使如上文所述制备的样品经受含硼离子的等离子体。处理腔室压力保持于约15毫托尔,RF偏压约为9kV,电源约为500W,以约300sccm的流率提供BF3气体且注入时间约为20秒。发现硼离子穿透厚度高达约65nm的抗蚀剂层,且以恒定浓度进一步穿透深度高达约10nm的磁层,从而在此后减小。如在实例1中,在此实例中亦可使用氩气以补充等离子体形成。经注入的硼离子将样品的饱和通量密度自约1.36T降低至约0.5T,降低了超过50%。
自以上实例明显看出:停止使受激离子穿透抗蚀剂层所需的抗蚀剂厚度取决于经注入物质、制程参数及离子至磁性薄膜中的所要穿透深度。随着经选择用于注入的区域的尺寸减小,抗蚀剂层的厚度亦减小以允许有效图案化。由于抗蚀剂厚度减小,故抗蚀剂层可能不再能够有效地遮蔽基板表面的部分。
此状况可藉由将掺杂剂(诸如,含硅化合物)添加至抗蚀剂来克服,该抗蚀剂增大对带电离子的穿透的抗性。可用以增大对带电离子的穿透的抗性的其他掺杂剂包括含有硫及磷的化合物。在一个实施例中,可添加纳米粒子以调整对带电离子的穿透的抗性。举例而言,可以此方式使用氧化铝(Al2O3)、二氧化硅(SiO2)、二氧化铈(CeO2)及二氧化钛(TiO2)的纳米粒子。
自以上实例明显看出:不同元素物质基于制程参数及离子至磁性薄膜中的所要穿透深度而对磁性性质具有不同影响。举例而言,可有利地使用一种或多种元素来改变磁膜的磁性性质。在一个实施例中,氦与硼的组合可提供额外益处。举例而言,藉由使用较小偏压,具有较小分子量的氦可较深地穿透至磁性薄膜中并改变磁性性质。具有较高分子量的硼可用于氦的穿透之前、氦的穿透之后或氦的穿透同时,以进一步影响磁性薄膜的磁性性质且同时充当用于阻止氦离子随时间而自磁性薄膜排泄的阻障。
尽管已描述氦与硼的组合,但是本领域技术人员将了解可依次或同时使用元素的各种其他排列及组合,以导出磁性性质及有利于保留且增强经改变的磁性性质的其他性质。自以上实例亦明显看出:可使用不同元素物质来改变磁性薄膜的磁性性质。举例而言,可使用含有增加薄膜的磁性性质的元素(诸如铂)的化合物。
本发明的实施例可应用于且并入各种类型的磁性记录介质。举例而言,可使用具有粒状磁结构的记录介质。又,可使用多层磁性薄膜。可与经图案化的介质一起使用亦为连续磁膜的磁性薄膜。经图案化的介质可为位元图案化介质或磁轨图案化介质。在一个实施例中,磁性薄膜可由适合于热辅助磁性记录的高各向异性磁性材料制成。
根据本文描述的实施例处理的基板将具有具第一磁性特征的第一多个磁畴及具有第二磁性特征的第二多个磁畴。该第一特征与该第二特征将可测地不同。举例而言,第一磁性特征可为非零磁化率或磁场,而第二磁性特征实质上无磁场或磁化率。或者,两个磁性特征可为可测不同的非零磁场或磁化率。这些磁畴将具有与基板的表面平行且不超过约50nm的尺寸(诸如,不超过约25nm)。此外,这种基板将在该第一多个磁畴及该第二多个磁畴的至少一部分中具有可量测量的一种或多种元素,这些元素来自由以下诸元素组成的群:氦、氢、氧、氮、硼、磷、砷、氟、硅、铂、铝及氩。一些基板将仅在该第一多个磁畴或第二多个磁畴(而非两者)中具有可量测量的上述元素中的一种或多种。其他基板将在该第一多个磁畴与第二多个磁畴中具有可量测量的这些元素中的一种或多种。
尽管上述内容针对本发明的实施例,但可在不脱离本发明的基本范畴的情况下设计本发明的其他及更多实施例。

Claims (17)

1.一种用于处理磁性基板的装置,包括:
处理腔室;
基板载体锻坯,所述基板载体锻坯位于所述处理腔室的内部,所述基板载体锻坯包括多个横向位移基板位置;
用于翻转基板以使得能够将每一基板的至少两个主表面暴露于所述处理腔室中的处理环境的构件;及
用于通过等离子体浸渍来改变每一基板的所述至少两个主表面的磁性性质的构件。
2.如权利要求1所述的装置,其特征在于,进一步包括:
平台,所述平台与所述处理腔室邻接;及
基板载入器,所述基板载入器耦合至所述平台和所述处理腔室。
3.如权利要求1所述的装置,其特征在于,用于改变每一基板的所述至少两个主表面的磁性性质的所述构件包括感应等离子体源。
4.如权利要求2所述的装置,其特征在于,所述基板载入器包括机器人,所述机器人围绕与所述基板的一直径平行的一轴线使每一基板旋转。
5.如权利要求2所述的装置,其特征在于,用于翻转基板的所述构件包括机器人,所述机器人围绕与所述基板的一直径平行的一轴线使每一基板旋转。
6.如权利要求3所述的装置,其特征在于,每一基板的所述两个主表面相继暴露于所述感应等离子体源。
7.如权利要求1所述的装置,其特征在于,所述处理腔室为等离子体浸渍离子注入腔室。
8.如权利要求4所述的装置,其特征在于,所述基板载体锻坯包括基板载体,所述基板载体具有提供于其上的所述多个横向位移基板位置。
9.一种用于处理一个或多个基板的装置,包括:
多个处理腔室,每一腔室包含具有多个横向位移基板固持位点的基板支撑件、面向所述基板支撑件的等离子体源、及气体源;及
翻转器,所述翻转器包含一个或多个延伸部分,所述一个或多个延伸部分经致动以接近所述基板支撑件上的基板、接触基板的边缘并围绕与所述基板的一直径平行的一轴线使所述基板旋转。
10.如权利要求9所述的装置,其特征在于,所述处理腔室中的至少一个为具有感应等离子体源的等离子体浸渍离子注入腔室。
11.如权利要求9所述的装置,其特征在于,进一步包含与所述处理腔室邻接以用于固持一个或多个基板的平台。
12.如权利要求9所述的装置,其特征在于,每一基板支撑件将多个基板同时暴露于处理环境。
13.如权利要求11所述的装置,其特征在于,所述翻转器为与所述处理腔室和所述平台耦合的基板载入器。
14.如权利要求9所述的装置,其特征在于,进一步包含具有多个横向位移基板位置的基板载体。
15.一种用于处理磁性基板的装置,包括:
多个处理腔室,所述多个处理腔室中的至少一个是等离子体浸渍离子注入腔室,所述等离子体浸渍离子注入腔室具有基板载体锻坯,所述基板载体锻坯具有安置于其中的多个横向位移基板位置;
移送室,所述移送室耦合至所述处理腔室;及
机器人,所述机器人围绕与一基板的一直径平行的一轴线使该基板旋转。
16.如权利要求15所述的装置,其特征在于,每一处理腔室选自由下列组成的群组:等离子体腔室、离子注入腔室、物理压印腔室、CVD腔室、PVD腔室、抗蚀剂剥离腔室、保护涂层腔室及润滑涂层腔室。
17.如权利要求15所述的装置,其特征在于,至少一个处理腔室为抗蚀剂剥离腔室。
CN201080009890.2A 2009-02-27 2010-02-12 Hdd图案布植系统 Expired - Fee Related CN102334161B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410088408.9A CN103824569B (zh) 2009-02-27 2010-02-12 Hdd图案布植系统

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US15619409P 2009-02-27 2009-02-27
US61/156,194 2009-02-27
US18511109P 2009-06-08 2009-06-08
US61/185,111 2009-06-08
PCT/US2010/024163 WO2010098993A2 (en) 2009-02-27 2010-02-12 Hdd pattern implant system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201410088408.9A Division CN103824569B (zh) 2009-02-27 2010-02-12 Hdd图案布植系统

Publications (2)

Publication Number Publication Date
CN102334161A CN102334161A (zh) 2012-01-25
CN102334161B true CN102334161B (zh) 2015-09-23

Family

ID=42666149

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201080009890.2A Expired - Fee Related CN102334161B (zh) 2009-02-27 2010-02-12 Hdd图案布植系统
CN201410088408.9A Expired - Fee Related CN103824569B (zh) 2009-02-27 2010-02-12 Hdd图案布植系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201410088408.9A Expired - Fee Related CN103824569B (zh) 2009-02-27 2010-02-12 Hdd图案布植系统

Country Status (6)

Country Link
US (2) US9685186B2 (zh)
CN (2) CN102334161B (zh)
MY (1) MY161518A (zh)
SG (1) SG173880A1 (zh)
TW (1) TWI567848B (zh)
WO (1) WO2010098993A2 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8551578B2 (en) * 2008-02-12 2013-10-08 Applied Materials, Inc. Patterning of magnetic thin film using energized ions and thermal excitation
US20090201722A1 (en) * 2008-02-12 2009-08-13 Kamesh Giridhar Method including magnetic domain patterning using plasma ion implantation for mram fabrication
US20090199768A1 (en) * 2008-02-12 2009-08-13 Steven Verhaverbeke Magnetic domain patterning using plasma ion implantation
US8535766B2 (en) * 2008-10-22 2013-09-17 Applied Materials, Inc. Patterning of magnetic thin film using energized ions
JP5318109B2 (ja) * 2008-09-19 2013-10-16 株式会社アルバック 磁気記録媒体の製造方法
JP2012069173A (ja) * 2009-02-19 2012-04-05 Hoya Corp 磁気記録媒体
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
SG175715A1 (en) * 2009-04-13 2011-12-29 Applied Materials Inc Hdd pattern apparatus using laser, e-beam, or focused ion beam
US8673162B2 (en) * 2009-12-10 2014-03-18 Applied Materials, Inc. Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
US8911554B2 (en) * 2010-01-05 2014-12-16 Applied Materials, Inc. System for batch processing of magnetic media
TWI595691B (zh) 2010-07-28 2017-08-11 應用材料股份有限公司 用於磁性媒材圖案化之阻劑強化
US20120168412A1 (en) * 2011-01-05 2012-07-05 Electro Scientific Industries, Inc Apparatus and method for forming an aperture in a substrate
US8679356B2 (en) 2011-05-19 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Mask system and method of patterning magnetic media
KR101590082B1 (ko) 2011-11-23 2016-01-29 어플라이드 머티어리얼스, 인코포레이티드 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들
SG11201402488VA (en) 2011-12-16 2014-09-26 Applied Materials Inc Demagnetization of magnetic media by c doping for hdd patterned media application
CN104136967B (zh) 2012-02-28 2018-02-16 伊雷克托科学工业股份有限公司 用于分离增强玻璃的方法及装置及由该增强玻璃生产的物品
US9828278B2 (en) 2012-02-28 2017-11-28 Electro Scientific Industries, Inc. Method and apparatus for separation of strengthened glass and articles produced thereby
US10357850B2 (en) 2012-09-24 2019-07-23 Electro Scientific Industries, Inc. Method and apparatus for machining a workpiece
WO2013130608A1 (en) 2012-02-29 2013-09-06 Electro Scientific Industries, Inc. Methods and apparatus for machining strengthened glass and articles produced thereby
US10049799B2 (en) * 2012-05-10 2018-08-14 Emw Co., Ltd. Magnetic sheet, method for manufacturing magnetic sheet and antenna comprising the magnetic sheet
JP2014075166A (ja) * 2012-10-04 2014-04-24 Showa Denko Kk 磁気記録媒体の製造方法及び装置
JP6118114B2 (ja) * 2013-01-15 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
JP6118130B2 (ja) 2013-02-25 2017-04-19 昭和電工株式会社 磁気記録媒体の製造方法及び装置
US20150371851A1 (en) * 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US9776906B2 (en) 2014-03-28 2017-10-03 Electro Scientific Industries, Inc. Laser machining strengthened glass
KR102478317B1 (ko) * 2015-04-08 2022-12-16 도쿄엘렉트론가부시키가이샤 기판 처리 시스템
JP6425639B2 (ja) * 2015-04-08 2018-11-21 東京エレクトロン株式会社 基板処理システム
CN106449466A (zh) * 2015-08-11 2017-02-22 中微半导体设备(上海)有限公司 一种基片处理系统
US9589802B1 (en) * 2015-12-22 2017-03-07 Varian Semuconductor Equipment Associates, Inc. Damage free enhancement of dopant diffusion into a substrate
US11026060B2 (en) 2016-05-13 2021-06-01 Huawei Technologies Co., Ltd. Systems and methods for network slice attachment and configuration
EP3907561B1 (en) * 2016-07-29 2023-03-22 Molecular Imprints, Inc. Substrate loading in microlithography
KR20210149903A (ko) * 2019-05-03 2021-12-09 어플라이드 머티어리얼스, 인코포레이티드 후면 물리 기상 증착을 위한 방법 및 장치
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
US20200411342A1 (en) * 2019-06-27 2020-12-31 Applied Materials, Inc. Beamline architecture with integrated plasma processing
TWI732420B (zh) * 2020-01-10 2021-07-01 台灣積體電路製造股份有限公司 半導體製程機台
CN112342499B (zh) * 2020-11-27 2021-06-01 中国科学院兰州化学物理研究所 通过表面刻蚀覆膜金属离子注入改善聚酰亚胺/高分子量聚乙烯摩擦学性能的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101313308A (zh) * 2006-04-21 2008-11-26 应用材料股份有限公司 用于监测基板处理的神经网络方法和设备

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3469686A (en) * 1967-02-08 1969-09-30 Monsanto Co Retaining trays for semiconductor wafers and the like
US3967002A (en) 1974-12-31 1976-06-29 International Business Machines Corporation Method for making high density magnetic bubble domain system
DE2732282C3 (de) 1977-07-16 1982-03-25 Gesellschaft für Schwerionenforschung mbH, 6100 Darmstadt Verfahren zum Herstellen einer magnetischen Speicherschicht
US4556567A (en) * 1983-06-30 1985-12-03 Mallinckrodt, Inc. Salt substitute containing potassium chloride coated with maltodextrin and method of preparation
US4556597A (en) 1983-08-22 1985-12-03 International Business Machines Corporation Ion doped servo pattern for magnetic recording disk
US4684547A (en) 1985-12-27 1987-08-04 International Business Machines Corporation Format patterning method for magnetic recording media
US4669004A (en) 1986-02-27 1987-05-26 Quantum Corporation High capacity disk file with embedded sector servo
DD271191A1 (de) 1988-03-28 1989-08-23 Univ Schiller Jena Verfahren zur erzeugung von servospuren
US4935278A (en) 1988-04-28 1990-06-19 International Business Machines Corporation Thin film magnetic recording disk and fabrication process
JPH03105721A (ja) 1989-09-20 1991-05-02 Hitachi Ltd 磁気記録媒体,磁気記録媒体の製造方法,磁気記録媒体製造装置
US5220476A (en) 1990-11-02 1993-06-15 Godwin Jimmy D Non-erasable magnetic data storage method
EP0577766B1 (en) * 1991-04-04 1999-12-29 Seagate Technology, Inc. Apparatus and method for high throughput sputtering
US5232566A (en) 1991-05-14 1993-08-03 International Business Machines Corporation Underlayer doping in thin film magnetic recording media
US6014296A (en) 1995-07-24 2000-01-11 Kabushiki Kaisha Toshiba Magnetic disk, method of manufacturing magnetic disk and magnetic recording apparatus
JP3354761B2 (ja) * 1995-08-30 2002-12-09 オリジン電気株式会社 ディスク用被膜形成装置
US5723033A (en) 1995-09-06 1998-03-03 Akashic Memories Corporation Discrete track media produced by underlayer laser ablation
US6055139A (en) 1995-12-14 2000-04-25 Fujitsu Limited Magnetic recording medium and method of forming the same and magnetic disk drive
US5858474A (en) 1996-02-20 1999-01-12 Seagate Technology, Inc. Method of forming a magnetic media
US5991104A (en) 1996-11-27 1999-11-23 Seagate Technology, Inc. Using servowriter medium for quickly written servo-patterns on magnetic media
US6139243A (en) * 1997-07-09 2000-10-31 Systemation Engineering Method and system for flipping a tray of parts
FR2773632B1 (fr) 1998-01-12 2000-03-31 Centre Nat Rech Scient Procede de gravure magnetique, pour notamment l'enregistrement magnetique ou magneto-optique
US6368425B1 (en) 1998-01-27 2002-04-09 Seagate Technology Llc Ion treatments for magnetic recording heads and magnetic recording media
US6086961A (en) 1998-03-09 2000-07-11 Seagate Technology, Inc. Quickly written servo-patterns for magnetic media including removing
US6368678B1 (en) * 1998-05-13 2002-04-09 Terry Bluck Plasma processing system and method
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6383574B1 (en) 1999-07-23 2002-05-07 Headway Technologies, Inc. Ion implantation method for fabricating magnetoresistive (MR) sensor element
JP3976455B2 (ja) * 1999-09-17 2007-09-19 株式会社日立製作所 イオン注入装置
WO2001043122A2 (en) 1999-12-10 2001-06-14 Seagate Technology Llc Magnetic disc having physical servo patterns with a magnetic carrier, and method of making and using the same
AU2430601A (en) 1999-12-13 2001-06-18 Semequip, Inc. Ion implantation ion source, system and method
JP4526151B2 (ja) * 2000-01-28 2010-08-18 キヤノンアネルバ株式会社 基板処理装置の基板移載装置
JP4268303B2 (ja) * 2000-02-01 2009-05-27 キヤノンアネルバ株式会社 インライン型基板処理装置
DE10111139A1 (de) 2000-04-07 2001-10-11 Merck Patent Gmbh Elektrooptische Flüssigkristallanzeige
US6898031B1 (en) 2000-04-19 2005-05-24 Seagate Technology Llc Method for replicating magnetic patterns on hard disk media
US6816341B2 (en) * 2000-07-05 2004-11-09 Hitachi, Ltd. Hard disk drive utilizing second lubricant over a first lubricant having a phosophezine group
US6864042B1 (en) 2000-07-25 2005-03-08 Seagate Technology Llc Patterning longitudinal magnetic recording media with ion implantation
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7064491B2 (en) 2000-11-30 2006-06-20 Semequip, Inc. Ion implantation system and control method
US6753043B1 (en) 2000-12-07 2004-06-22 Seagate Technology Llc Patterning of high coercivity magnetic media by ion implantation
US6656614B1 (en) 2001-06-04 2003-12-02 Seagate Technology Llc Method for manufacturing magnetic media with textured CSS landing zone formed by ion implantation, and media obtained thereby
US6740209B2 (en) 2001-07-27 2004-05-25 Anelva Corporation Multilayer film deposition apparatus, and method and apparatus for manufacturing perpendicular-magnetic-recording media
US6770565B2 (en) 2002-01-08 2004-08-03 Applied Materials Inc. System for planarizing metal conductive layers
US6843892B1 (en) * 2002-02-19 2005-01-18 Seagate Technology Llc Apparatus and method for selectively and controllably electrically biasing a plurality of substrates on a pallet
US6886244B1 (en) * 2002-02-25 2005-05-03 Seagate Technology Llc Segmented pallet for disk-shaped substrate electrical biassing and apparatus comprising same
US7050248B1 (en) 2002-06-28 2006-05-23 Seagate Technology Llc Method and apparatus for patterning magnetic media by contact printing
US7041202B2 (en) * 2002-08-02 2006-05-09 Seagate Technology Llc Timing apparatus and method to selectively bias during sputtering
WO2004057579A2 (en) 2002-11-21 2004-07-08 Berkshire Laboratories, Inc. Enhanced data storage and retrieval devices and systems and methods for utilizing same
JP2005056535A (ja) * 2003-08-07 2005-03-03 Tdk Corp 磁気記録媒体の製造方法及び製造装置
US7611911B2 (en) * 2003-10-08 2009-11-03 International Business Machines Corporation Method and system for patterning of magnetic thin films using gaseous transformation to transform a magnetic portion to a non-magnetic portion
US7235139B2 (en) * 2003-10-28 2007-06-26 Veeco Instruments Inc. Wafer carrier for growing GaN wafers
US6987272B2 (en) * 2004-03-05 2006-01-17 Axcelis Technologies, Inc. Work piece transfer system for an ion beam implanter
US7038225B2 (en) 2004-06-23 2006-05-02 Seagate Technology Llc Method and apparatus for electron beam processing of substrates
US7780821B2 (en) * 2004-08-02 2010-08-24 Seagate Technology Llc Multi-chamber processing with simultaneous workpiece transport and gas delivery
WO2007067488A2 (en) * 2005-12-08 2007-06-14 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US20090180213A1 (en) * 2006-02-21 2009-07-16 Showa Denko K.K. Magnetic recording medium, method for production thereof, and magnetic recording and reproducing drive
JP2008034024A (ja) * 2006-07-28 2008-02-14 Toshiba Corp 電子線描画方法、その電子線描画方法を用いて作製された磁気記録媒体、およびその製造方法
US8419341B2 (en) * 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
WO2008039845A2 (en) * 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
JP2008135092A (ja) * 2006-11-27 2008-06-12 Showa Denko Kk 磁気記録媒体の製造方法、及び磁気記録再生装置
US7675048B2 (en) * 2007-03-06 2010-03-09 Varian Semiconductor Equipment Associates, Inc. Wafer holding robot end effecter vertical position determination in ion implanter system
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
WO2008156189A1 (en) * 2007-06-19 2008-12-24 Showa Denko K.K. Method of producing magnetic recording medium, and magnetic recording and reading device
JP4881908B2 (ja) 2007-06-19 2012-02-22 昭和電工株式会社 磁気記録媒体の製造方法及び磁気記録再生装置
KR101492946B1 (ko) 2007-07-26 2015-02-13 주성엔지니어링(주) 결정질 실리콘 태양전지와 그 제조방법 및 제조시스템
US8336193B2 (en) * 2007-07-30 2012-12-25 Showa Denko K.K. Process for making magnetic recording medium and magnetic recording-reproducing apparatus
US20090201722A1 (en) * 2008-02-12 2009-08-13 Kamesh Giridhar Method including magnetic domain patterning using plasma ion implantation for mram fabrication
JP5206025B2 (ja) 2008-02-29 2013-06-12 富士通株式会社 磁気記録媒体の製造方法および磁気記録媒体
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8177469B2 (en) * 2008-11-10 2012-05-15 Seagate Technology Llc Magnetic disk handling apparatus
US9685186B2 (en) * 2009-02-27 2017-06-20 Applied Materials, Inc. HDD pattern implant system
US10026436B2 (en) * 2009-07-01 2018-07-17 Nordson Corporation Apparatus and methods for supporting workpieces during plasma processing
US8911554B2 (en) * 2010-01-05 2014-12-16 Applied Materials, Inc. System for batch processing of magnetic media

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101313308A (zh) * 2006-04-21 2008-11-26 应用材料股份有限公司 用于监测基板处理的神经网络方法和设备

Also Published As

Publication number Publication date
WO2010098993A3 (en) 2010-11-11
TWI567848B (zh) 2017-01-21
CN102334161A (zh) 2012-01-25
WO2010098993A2 (en) 2010-09-02
SG173880A1 (en) 2011-10-28
CN103824569A (zh) 2014-05-28
CN103824569B (zh) 2017-07-04
TW201041067A (en) 2010-11-16
US20100221583A1 (en) 2010-09-02
US9685186B2 (en) 2017-06-20
US20170365288A1 (en) 2017-12-21
MY161518A (en) 2017-04-28

Similar Documents

Publication Publication Date Title
CN102334161B (zh) Hdd图案布植系统
CN102598131B (zh) 用于图案化的磁盘媒体应用的等离子体离子注入工艺
US8349196B2 (en) System and method for commercial fabrication of patterned media
US20110101247A1 (en) Temperature control of a substrate during a plasma ion implantation process for patterned disc media applications
TWI595691B (zh) 用於磁性媒材圖案化之阻劑強化
US8673162B2 (en) Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
US20100258431A1 (en) Use special ion source apparatus and implant with molecular ions to process hdd (high density magnetic disks) with patterned magnetic domains
WO2010120805A2 (en) Modification of magnetic properties of films using ion and neutral beam implantation
KR20140098795A (ko) 실리콘 산화물 화학 기상 증착 포토레지스트 평탄화를 위한 장치들 및 방법들
CN103996404B (zh) 磁性记录媒体和在基板上图案化薄膜的方法
US10233538B2 (en) Demagnetization of magnetic media by C doping for HDD patterned media application
US8871528B2 (en) Medium patterning method and associated apparatus
CN104813402A (zh) 用于hdd位元图案化介质图案转印的图案强化
US20150041429A1 (en) Integrated tool for fabricating an electronic component

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150923

Termination date: 20190212