CN106469666B - 基座及基质加工设备 - Google Patents

基座及基质加工设备 Download PDF

Info

Publication number
CN106469666B
CN106469666B CN201610607367.9A CN201610607367A CN106469666B CN 106469666 B CN106469666 B CN 106469666B CN 201610607367 A CN201610607367 A CN 201610607367A CN 106469666 B CN106469666 B CN 106469666B
Authority
CN
China
Prior art keywords
plate
cooling medium
susceptor
temperature
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610607367.9A
Other languages
English (en)
Other versions
CN106469666A (zh
Inventor
森幸博
梅尔文·韦尔巴斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to CN202110823500.5A priority Critical patent/CN113555270A/zh
Publication of CN106469666A publication Critical patent/CN106469666A/zh
Application granted granted Critical
Publication of CN106469666B publication Critical patent/CN106469666B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Abstract

基座包括板状部件、用于加热所述板状部件的第一部的第一加热器、用于加热所述板状部件的第二部的第二加热器以及用于使所述第一部和所述第二部在所述板状部件的上表面侧彼此绝热的绝热部。

Description

基座及基质加工设备
技术领域
本发明涉及一种支撑基质的基座并涉及一种设置有基座的基质加工设备。
背景技术
US6469283B1公开了一种用于向具有多个区域的基质支撑台的其中一个区域施加100%的电力而向其它区域施加50%的电力的装置。
在一些半导体或液晶制造加工中,当加工基质时有意地使基质的温度不一致。例如,在以下情况下,在使基质的温度不一致的同时在基质上进行薄膜成形,因此使得形成在基质上的薄膜的膜厚不一致,或者使薄膜质量不一致。从实现这样的加工的观点,优选在基质中的某些不同位置之间创建明确的温度差。
虽然US6469283B1中公开的基质支撑台能够分别地加热多个区域,但多个区域在基质支撑台的上表面中彼此接合。基质支撑台(基座)主要由具有好的导热性的材料制成,例如,铝、氮化铝(AlN)、碳或者碳化硅(SiC)。在US6469283B1中公开的基质支撑台中,因此,从一个地带向另一个地带的热传递是主动的并且不能在基质中的某些不同位置之间创建明确的温度差。
发明内容
鉴于上述问题,本发明的目标为提供一种能够在基座中创建明确的温度差的基座以及设置有所述基座的基质加工设备。
本发明的特征和优势可总结如下。
根据本发明的一个方案,基座包括板状部件、用于加热板状部件的第一部的第一加热器、用于加热板状部件的第二部的第二加热器以及用于使第一部和第二部在板状部件的上表面上彼此绝热的绝热部。
根据本发明的另一个方案,基质加工设备包括具有板状部件的基座、用于加热板状部件的第一部的第一加热器、用于加热板状部件的第二部的第二加热器以及用于使第一部和第二部在板状部件的上表面上彼此绝热的绝热部,容纳有基座的腔室以及附接至腔室的侧表面的排气部件。第一部为包括板状部件的外边缘的部分,第二部为包括板状部件的外边缘的部分,而如在平面中观察到的,排气部件与第一部彼此相对。
根据本发明的另一个方案,基质加工设备包括具有板状部件的基座、用于加热板状部件的第一部的第一加热器、用于加热板状部件的第二部的第二加热器以及用于使第一部和第二部在板状部件的上表面侧上彼此绝热的绝热部,容纳有基座的腔室以及附接至腔室的侧表面的闸阀。第一部为包括板状部件的外边缘的部分,第二部为包括板状部件的外边缘的部分,而如在平面中观察到的,闸阀与第二部彼此相对。
本发明的其它和进一步的目的、特征以及优势将从下面的描述中更充分地呈现。
附图说明
图1为根据第一实施例的基质加工设备的剖视图;
图2为板状部件的平面图;
图3为示出了基座的温度控制方法的图解;
图4为示出了放置在板状部件上的基质的图解;
图5为示出了基座表面的温度的图解;
图6为根据第二实施例的基座的平面图;
图7为根据第三实施例的基质加工设备的平面图;
图8为根据第四实施例的基质加工设备的平面图;
图9为根据第五实施例的基座和其它构件的剖视图;
图10为根据第六实施例的基座的剖视图;
图11为根据第七实施例的基座和其它构件的剖视图;
图12为根据第八实施例的基座的剖视图;
图13为根据第九实施例的基座的剖视图;
图14为在图13中示出的基座的平面图;
图15为示出了基座的表面中的温度分布的图像;
图16为示出了基座上的温度分布中的变化的图解;
图17为示出了等离子加工中的温度变化的图解;
图18为示出了等离子加工中的温度变化的图解;
图19为示出了等离子加工中的基座的温度中的变迁的图解;
图20为示出了等离子加工中的基座的温度中的变迁的图解;
图21为示出了改变生长率的图解;
图22为示出了改变蚀刻率的图解;
图23为根据第十实施例的基座的剖视图;
图24为示出了基座的表面中的温度分布的图像;
图25为根据第十一实施例的基座的剖视图;
图26为根据第十二实施例的基座的平面图;
图27为沿图26中的线27-27剖切的基座的剖视图;
图28为绝热部的放大图;
图29为根据变型例的基座的一部分的剖视图;以及
图30为根据另一个变型例的基座的一部分的剖视图。
具体实施方式
将参照附图描述根据本发明的实施例的基座及基质加工设备。彼此相同或对应的部件分配有相同的附图标记,并且在某些情况下省略对它们的重复的描述。
第一实施例
图1是根据本发明的第一实施例的基质加工设备10的剖视图。所述基质加工设备10构成为用于在基质上执行例如等离子增强原子层沉积(PEALD)的薄膜成形设备。基质加工设备10具有腔室(反应室)12。射频功率所施加至的射频电极14设置在腔室12中。狭缝14a设置在射频电极14中。
基座15设置在腔室12中以便与射频电极14相对。基座15包括板状部件16和支撑板状部件16的滑动轴18。射频电极14与板状部件16形成平行的板状结构。
供气部件22与射频电极14通过介于二者之间的绝缘件20连接。供气部件22为材料气体被供给至射频电极14与基座15之间的空间所通过的部件。排气风道30设置在射频电极14与腔室12之间。排气风道30例如由陶瓷形成。被适当地压缩的O形圈32设置在排气风道30和射频电极14之间。被适当地压缩O形圈34设置在排气风道30和腔室12之间。
排气风道30形成为如在平面中观察到的环形,使得其包围板状部件16。包围板状部件16上的加工空间17的环形通道30b由排气风道30设置。在排气风道30中,气体被供给到加工空间17中所通过的环形狭槽30a通向环形通道30b,并且形成环形通道30b中的气体被排放至外部所通过的排气口30c。
排气口30c与设置在腔室12的侧表面上的排气部件40连接。排气部件40被设置为排放用于薄膜成形的材料气体。阀42和真空泵44连接至排气部件40。腔室12中的压力能够通过使用阀42和真空泵44调整排放率而独立地控制。
板状部件16的厚度例如为33mm。优选的是,板状部件16由诸如具有良好导热性的铝的材料形成。在板状部件16中,包括第一加热器50和第二加热器52。第一加热器50和第二加热器52例如分别为电阻加热器。绝热部16A设置在第一加热器50和第二加热器52之间。绝热部16A为设置有沟槽(间隙)的沟槽部。对于绝热部16A,沟槽设置在板状部件16的上表面中。
图2为板状部件16的平面图。板状部件16的直径被设定为例如325mm以支撑具有300mm的直径的基质。板状部件16具有作为第一部16a的中央部。第一加热器50在第一部16a中设置为环形。第一加热器50为用于加热第一部16a的加热器。第一加热器50由虚线指示。第一加热器50具有例如180mm的中央直径。所述中央直径为通过将外径和内径的总和除以2计算出的数值。
板状部件16具有作为第二部16b的外部。第二加热器52在第二部16b中设置为环形。如在平面中观察到的,第二部16b包围第一部16a。第二加热器52为用于加热第二部16b的加热器。第二加热器52由虚线指示。第二加热器52具有例如280mm的中央直径。第一加热器50和第二加热器52彼此同心地设置。
如在平面中观察到的,绝热部16A形成为环形。绝热部16A由第一部16a的侧表面、与第一部16a的侧表面隔开的第二部16b的侧表面以及将这些侧表面连接的底面形成。沟槽16A’有绝热部16A设置。绝热部16A用作使第一部16a和第二部16b在板状部件16的上表面上彼此绝热的绝热部。沟槽16’的尺寸例如为1.5mm的宽度、23mm的深度以及247.5mm的中央直径。
图3为示出了基座的温度控制方法的图解。第一加热器50通过电线50a连接至加热器控制器60。第二加热器52通过电线52a连接至加热器控制器60。加热器控制器可以被划分为用于分别控制第一加热器50和第二加热器52的两个控制器。
电线50a和52a穿过滑动轴18并且从滑动轴18的下端延伸至外部。因此,电线50a和52a不暴露至腔室12的内部。如果电线50a和52a从板状部件16的侧表面通向外部,电线50a和52a暴露至腔室12的内部并且经受等离子。因此,这样的电线装配不是优选的。而且,如果电线50a和52a从板状部件16的侧表面通向外侧,存在当基座15竖直移动时损坏电线50a和52a的危险。因此,优选的是,将电线50a和52a从滑动轴18的下端引出。
加工模块控制器(PMC)62连接至加热器控制器60。独特平台控制器(UPC(uniqueplatform controller))64连接至PMC62。用于测量基座15的温度的温度测量部件65附接至板状部件16。温度测量部件65例如是热电偶。关于使用温度测量部件65测量到的温度的信息被传送至温度控制器66。该温度用于基座15的温度的控制。
将描述使用具有上述的基座15的基质加工设备10加工基质的方法。真空泵44持续运转以维持腔室12中的真空。待加工的基质首先被放置在板状部件16上。图4为示出了放置在板状部件16上的基质70的图解。基质70被放置在第一部16a和第二部16b上。基质70的在第一部16a上的部分被称为基质中央部70A。基质70的在第二部16b上的部分被称为基质边缘部70B。在具有诸如附接至其背表面的二氧化硅薄膜的薄膜的基质的情况下,薄膜吸收水并且存在当放置在加热的基座上时基质滑动的可能性。因此优选的是,在板状部件16的上表面中为基质70设置浅的沟槽,以确保基质不会在板状部件16上滑动。可以替代地在板状部件16的上表面上设置小的突起,并且可以使基质的侧表面抵靠突起以防止基质滑动。
随后,加热基质70。在描述了用于加工基质70的条件的诀窍中,设定了第一部16a的目标温度和第二部16b的目标温度。加热器控制器60基于该设定为第一加热器50和第二加热器52提供能量使得第一部16a和第二部16b具有目标温度。例如,第一部16a具有300℃的温度而第二部16b具有305℃的温度。
因为沟槽16A’在其中具有真空,所以此时沟槽16A’用作绝热层。通过绝热部16A(沟槽16A’),第一部16a与第二部16b之间的热传递能够被限制在板状部件16的上表面侧上。即由于第一部16a和第二部16b通过绝热部16A在板状部件16的上表面侧上彼此绝热,因此在板状部件16的上表面侧能够创建明确的温度差。
图5为示出了基座表面的温度的图表。实线指示了根据本发明的第一实施例的板状部件16的表面温度。更具体地,实线指示了沿图2中得线A-A’的温度分布。对于第一部16a,基本上实现了根据诀窍中设定的温度(300℃)。同样对于第二部16b,基本上实现了根据诀窍中设定的温度(305℃)。而且,用于使第一部16a和第二部16b在板状部件的上表面侧绝热的绝热部16A的设置使得能够在第一部16a与第二部16b之间创建明确的温度差。
另一方面,图5中的虚线指示根据比较例的基座表面的温度。根据比较例的基座大致与根据本发明的第一实施例的基座相同,只是不同之处在于没有设置绝热部。由于在根据比较例的基座的板状部件中不存在绝热部,热在板状部件的上表面上的第一部(中央部)与第二部(边缘部)之间传递。因此,比较例中的基座表面中的温度分布是这样的:温度沿着从中央朝向板状部件的外边缘的方向逐渐增加。即,在板状部件中不能够创建出明确的温度差。
在根据第一实施例的板状部件16中创建的明确的温度差被反映在放置在板状部件16上的基质70的温度中。即,在图4中示出的基质70中,基质中央部70A的温度为300℃,而基质边缘部70B的温度为305℃。在基质70因此被设定为预定温度后,材料气体被供给到腔室12中以在基质70上执行等离子薄膜成形。
在通常的基质加工中,重复执行由在基质上形成薄膜、通过曝光和显影形成样式以及通过蚀刻除去不需要的部分组成的加工步骤的顺序。理想的基质处理是如下的加工:不具有平面内的非均匀性地形成薄膜、不具有平面内的非均匀性地形成样式以及不具有平面内的非均匀性地执行蚀刻。例如,在蚀刻步骤中,存在蚀刻量的平面内的非均匀性的可能性。在这种情况下,平面内的非均匀性应当通过调整蚀刻步骤的条件而抑制。在一些情况下,然而,这样的调整是不可能的或者难以执行。
在一些情况下,因此,为了吸收蚀刻量的平面内的非均匀性,需要有意地使在薄膜成形步骤中形成的薄膜的薄膜质量或薄膜厚度不均匀。例如,在一些情况下,如果基质的外边缘侧上的蚀刻量通过蚀刻而相对增加,则执行薄膜成形以便在基质外边缘侧增加厚度。
如上所述,根据本发明的第一实施例的基座及基质加工设备能够在基质中创建明确的温度差,并且因此适于有意地使薄膜的薄膜厚度或薄膜质量不均匀。因此,由于整个加工而导致的非均匀性能够通过形成具有任何期望的非均匀性的薄膜以吸收在除薄膜成形步骤以外的平面内的非均匀性而去除。即,位于加工结尾处的平面内的非均匀性能够被限制。
待形成的薄膜的薄膜厚度的分布以及薄膜质量的分布根据所需条件从除薄膜成形以外的步骤如所期望地设定。例如,比基质中央部上的薄膜更厚(或更薄)的薄膜形成在基质的边缘部,或者使形成在基质边缘部的薄膜比形成在基质中央部上的薄膜更硬(或更软)。
在等离子薄膜成形的情况下,由于腔室的贡献,电场强度在基质中央部处增加而在基质边缘部处减小。而且,在等离子薄膜成形中,在基质的温度低所处的部分上的薄膜厚度通常变得比在基质的温度高所处的部分上的薄膜后的更高。通过综合考虑了对薄膜厚度的平面内的分布或薄膜质量做出贡献的因素而在基质中创建了温度差,以形成具有符合要求的平面内的非均匀性的薄膜。
能够对根据本发明第一实施例的基座15及基质加工设备10进行各种变型。合适地做出使薄膜的哪个部分更硬(或更软)或者使哪个部分更厚(或更薄)的判定,以从除薄膜成形步骤以外的步骤符合要求。根据本发明的基座及基质加工设备不仅能够被构成为薄膜成形设备而且能够被构成为蚀刻机。薄膜成形设备和蚀刻机在真空中的等离子加工方面具有共同点。
从板状部件16中实现的温度分布和基质70中的温度分布之间的均衡的观点,优选的是,基质70与板状部件16处于紧密接触。因此,优选的是,通过设置在板状部件16的静电卡盘而维持基质70与板状部件16处于紧密接触。基座15的由于基质70与基座15之间的接触而导致的温度变化是小的,因为基座15的导热能力大于基质70的导热能力。
在诀窍中,第一部16a与第二部16b之间的温度差的设定可以替代两个部分的目标温度的设定而做出。例如,第一部16a和第二部16b的目标温度可以以如下方式设定:第一部16a的目标温度在诀窍中设定,而第二部16b的目标温度由于预定温度(例如,50℃)的增加被限定为第一部16a的目标温度或者从第一部16a的目标温度减去预定温度。
绝热部16A的样式根据要求的基质中的温度分布能够按照期望地变化。沟槽16A’的尺寸和其它在第一实施例中示出的数值只是示例并且能够按照期望地变化。变型因此能够按照期望地施加至根据下述的实施例的基座及基质加工设备。根据下述的实施例的基座及基质加工设备具有与第一实施例的多个共同点,并且因此将主要关于与第一实施例的不同点进行描述。
第二实施例
图6为根据第二实施例的基座的平面图。第三部16c形成为板状部件16的一部分。如在平面中观察到的,第三部16c包围第二部16b。用于加热第三部16c的第三加热器80包括在第三部16c中。第三加热器80由虚线指示。第一加热器50、第二加热器52以及第三加热器80彼此同心地设置。
外部绝热部16B在上表面侧设置在板状部件16中。外部绝热部16B由第二部16b的侧表面、与第二部16b的侧表面隔开的第三部16c的侧表面以及连接这些侧表面的底表面形成。外部绝热部16B设置用作绝热层的沟槽16B’。沟槽16B’使第二部16b和第三部16c在板状部件16的上表面侧上彼此绝热。
通过根据本发明的第二实施例的基座,第一部16a、第二部16b以及第三部16c的温度能够被独立地设定为期望的温度。相比于根据板状部件在上表面上为了温度控制而被划分为两个的第一实施例的基座,基质中的温度分布的自由度能够因此被改善。
第三实施例
图7为根据第三实施例的基质加工设备的平面图。只有腔室12的侧壁部被图示以便能够看到腔室12的内部。在腔室12中,容纳有基座。图7示出了板状部件16。为了排空腔室12和排放供给到腔室12中的材料气体的目的,排气部件40附接至腔室12的侧表面。为了将基质放在腔室12中并且将基质从腔室12取出的目的,闸阀102附接至腔室12的侧表面。晶圆传送腔室104连接至闸阀102。
基座的板状部件16具有第一部16d、第二部16e、第三部16f以及第四部16g。如在平面中观察到的,第一至第四部16d、16e、16f以及16g中的每个为扇形的。第一至第四部16d、16e、16f以及16g为包括板状部件16的外边缘的部分。如在平面中观察到的,排气部件40与第一部16d彼此相对。如在平面中观察到的,闸阀102和第二部16e彼此相对。因此,第一部16d为板状部件16中的更靠近排气部件40的区域,同时第二部16e为板状部件16中的更靠近闸阀102的区域。
在板状部件16中,形成作为沟槽部的绝热部16C和16D。对于绝热部16C,沟槽16C’设置在第一部16d和第四部16g之间以及第二部16e和第三部16f之间。对于绝热部16D,沟槽16D’设置在第一部16d和第三部16f以及第二部16e和第四部16g之间。对于绝热部16C和16D,形成十字样式的沟槽设置在板状部件16。沟槽16C’和16D’的宽度和深度,不特别具体化,基本上与第一实施例的描述中的沟槽的宽度和深度相同。
用于加热第一部16d的第一加热器110包括在第一部16d中。用于加热第二部16e的第二加热器112包括在第二部16e中。用于加热第三部16f的第三加热器114包括在第三部16f中。用于加热第四部16g的第四加热器116包括在第四部16g中。第一至第四加热器110、112、114和116通过加热器控制器分别控制。在加热器控制器的控制下,因此,第一至第四部16d、16e、16f以及16g能够具有不同的温度。第一至第四部16d、16e、16f以及16g通过绝热部16C和16D在板状部件16的上表面侧彼此热分离,因此在基质中能够创建明确的温度差。
当腔室12中的气体被排放(排空)时,腔室12的内部并非均匀地排空。排气部件40附近的场所的压力低于远离排气部件40的场所的压力。在压力低的区域,气体保持时间(一个模块保持在等离子中的时期)减少。在许多在薄膜成形设备或蚀刻器中加工的情况下,因此,薄膜成形速度在薄膜成形设备中的排气部件40附近的场所减少,或者蚀刻速度在蚀刻器中的排气部件40附近的场所减少。
基座15与腔室12处于同样的电位(接地)。在等离子产生时,发生从射频电极14向最接近的电极(基座15的板状部件16)的主要排放。然而,也发生从射频电极14向包括起初未相对的腔室以用作电极的部分的排放。从防止薄膜成形条件在基质平面中变得不均匀的观点,优选的是,腔室12包括射频电极14,并且相对于所有方向的与射频电极14的距离为一致的。在实际中,然而,因为闸阀102,从射频电极14至处于接地电位处的电极的距离和电极形状并不一致。即,等离子在闸阀102附近的喷洒方式以及在远离闸阀102的场所的喷洒方式彼此不同。
因此,排气部件40附近的区域中的薄膜成形条件与远离排气部件40的区域中的薄膜成形条件彼此不同,并且闸阀102附近的区域中的薄膜成形条件与远离闸阀102的区域中的薄膜成形条件彼此不同。即,即使在与基质中央距离相同的两个点之间,薄膜成形条件根据点与排气部件40和闸阀102的距离而改变。
因此,根据本发明的第三实施例的基座被设计为使得与排气部件40相对的第一部16d能够被独立地温度控制。考虑了在第一部16d处的薄膜成形条件的具体性而设定第一部16d的温度,因此能够实现对形成在第一部16d上的基质上的薄膜的薄膜厚度和薄膜质量的控制。
而且,基座被设计为使得与闸阀102相对的第二部16e能够被独立地温度控制。考虑了在第二部16e处的薄膜成形条件的具体性而设定第二部16e的温度,因此能够实现对形成在第二部16e上的基质上的薄膜的薄膜厚度和薄膜质量的控制。
在闸阀102的存在关于薄膜成形质量的影响小的情况下,与排气部件40和第一部16d相对是足够的,并且不需要第二部16e与闸阀102相对。而且,在排气部件40的存在关于薄膜成形质量的影响小的情况下,与闸阀12和第二部16e相对是足够的,并且不需要第一部16d与排气部件40相对。闸阀102与排气部件40的位置不需要彼此处于对称的关系。而且,板状部件16可以被绝热部划分为三个部分或者五个或者更多的部分。
第四实施例
图8为根据第四实施例的基质加工设备的平面图。第一部16a、第二部16b以及绝热部16A和外部绝热部16B以与第二实施例(图6)中的板状部件16相同的方式设置。在本发明的第四实施例中,第二实施例中的第三部16c被划分为四个。即,如在图8中示出的,四个第三部16h、16i、16j以及16k被设置为板状部件16的部分。如在平面中观察到的,四个第三部16h、16i、16j以及16k作为整体包围第二部16b。第三部16h与排气部件40相对。第三部16j与闸阀102相对。
用于加热第三部16h的第三加热器124包括在第三部16h中。用于加热第三部16i的第三加热器126包括在第三部16i中。用于加热第三部16j的第三加热器128包括在第三部16j中。用于加热第三部16k的第三加热器130包括在第三部16k中。因此,一个第三加热器布置在多个第三部的每个中。四个第三加热器124、126、128以及130分别由加热器控制器控制。四个第三部16h、16i、16j以及16k能够因此由加热器控制器控制以具有不同的温度。
作为沟槽部的外部绝热部16B形成在板状部件中。外部绝热部16B使第二部16b与多个第三部16h、16i、16j以及16k在板状部件的上表面侧彼此绝热。而且,作为沟槽部的外部边缘侧绝热部16G、16H、16I以及16J形成在板状部件中。外部边缘侧绝热部16G、16H、16I以及16J使多个第三部在板状部件的上表面侧彼此绝热。第一部16a、第二部16b以及多个第三部16h、16i、16j以及16k由绝热部16A、外部绝热部16B以及外部边缘绝热部16G、16H、16I以及16J在板状部件的上表面侧彼此热分离,因此使得能够在基质中创建明确的温度差。
在一些情况下,排气部件40的存在关于薄膜成形条件的影响在基质的排气部件40附近的边缘部特别大,并且在基质的中央部小。在本发明的第四实施例中,因此,能够实现与在板状部件的外边缘部处的排气部件40相对的第三部16h的独立温度控制。考虑了在第三部16h处的薄膜成形条件的具体性而设定第三部16h的温度,因此能够实现在第三部16h上的基质上形成的薄膜的薄膜厚度和薄膜质量的控制。
而且,在一些情况下,闸阀102的存在关于薄膜成形条件的影响在基质的闸阀102附近的边缘部处特别大,并且在基质的中央部小。在根据本发明的第四实施例中,因此,能够实现在板状部件的外边缘部处与闸阀102相对的第三部16j的独立温度控制。考虑了在第三部16j处的薄膜成形条件的具体性而设定第三部16j的温度,因此能够实现在第三部16j上的基质上形成的薄膜的薄膜厚度和薄膜质量的控制。
由于第一加热器50、第二加热器52以及多个第三加热器124、126、128以及130彼此同心地设置,能够考虑了薄膜成形条件的变化、依据与板状部件的中央的距离(中央-边缘关系)以与第二实施例中的基座相同的方式进行温度设定。即,通过根据本发明的第四实施例的基座及基质加工设备,能够实现按照期望地选择薄膜厚度分布和薄膜质量分布,同时修正中央-边缘关系、排气部件40的存在的影响以及闸阀102的存在的影响。
第三部的数量不限于四个。可以使用多个第三部。如果能够被独立地温度控制的部分的数量增加,例如,通过增加沟槽部的数量并且独立地改变沟槽部的形状,能够实现复杂的薄膜厚度分布或者薄膜质量分布。
第五实施例
图9为根据第五实施例的基座及其它构件的剖视图。该基座与根据第二实施例(图6)的基座类似。然而,形成在绝热部16A和外部绝热部16B中的沟槽16A’和16B’的宽度比第二实施例中的大。而且,第一闭合部件160设置在第一部16a上。第一闭合部件160使沟槽部中的沟槽16A’的一部分闭合而不与第二部16b接触。如在平面中观察到的,第一闭合部件160的形状(平面形状)为圆形。第二闭合部件162设置在第二部16b上。第二闭合部件162使沟槽部中的沟槽16A’的一部分闭合而不与第一部16a和第一闭合部件160接触。第二闭合部件162的平面形状为环形,包围第一闭合部件160。
第三闭合部件164设置在第三部16c上。第三闭合部件164使沟槽16B’的一部分闭合而不与第二部16b和第二闭合部件162接触。第三闭合部件164的平面形状为环形,包围第二闭合部件162。待加工的基质70被放置在第一闭合部件160、第二闭合部件162以及第三闭合部件164上。如果不大大地阻碍等离子排放,则不将第一至第三闭合部件160、162以及164的材料特别地具体化。材料可以是,例如,陶瓷或铝。
使第一部16a与第二部16b彼此绝热的效果以及使第二部16b与第三部16c彼此绝热的效果能够通过增加沟槽16A’的宽度(x3)与沟槽16B’的宽度(x4)而改善。然而,如果基质被加热同时被直接放置在具有增加的沟槽16A’的宽度(x3)与沟槽16B’的宽度(x4)的板状部件上,随后在沟槽16A’和16B’的正上方的位置处基质温度并没有足够地增加。即,发生无意的温度变化。
为了防止这样,设置了第一至第三闭合部件160、162以及164。第一闭合部件160和第二闭合部件162使沟槽16A’的一部分闭合。因此第一闭合部件160与第二闭合部件162之间的距离(x1)小于沟槽16A’的宽度(x3)。第二闭合部件162与第三闭合部件164使沟槽16B’的一部分闭合。因此第二闭合部件162与第三闭合部件164之间的距离(x2)小于沟槽16B’的宽度(x4)。因此,相比于基质直接放置在板状部件16上的情况能够限制无意的温度变化。
优选的是,根据形成在板状部件中的沟槽的数量而改变闭合部件的数量。例如,在只有沟槽16A’形成在板状部件中的情况下,不设置第三闭合部件164。
第六实施例
图10为根据第六实施例的基座的剖视图。第一部16a、第二部16b以及第三部16c为分体部件。换言之,第三部16c能够从第二部16b脱离。第二部16b能够从第一部16a脱离。如在平面中观察到的,第二部16b与第三部16c为环形部件。突起160设置在第一部16a的侧表面上,而第二部16b放置在该突起160上。突起162设置在第二部16b的侧表面上,而第三部16c放置在该突起162上。
沟槽部(绝热部16A)由第一部16a的侧表面、突起160的上表面以及第二部16b的侧表面形成。外绝热部16B由第二部16b的侧表面、突起162的上表面以及第三部16c的侧表面形成。
如从图10明显看出的,深的沟槽16A’能够通过在第一部16a的侧表面的下端处设置突起160并且通过减小突起160的厚度而设置。深的沟槽16B’能够通过在第二部16b的侧表面的下端处设置突起162并且通过减小突起162的厚度而设置。如果使沟槽在第一实施例中的基座中变深,则存在基座的强度显著降低的担忧。然而,由于是组装类型,所以根据本发明的第六实施例的基座能够保证强度,同时使沟槽变深。
第七实施例
图11为根据第七实施例的基座及其他构件的剖视图。该基座具有附接至板状部件16的冷却构件200,以及附接至滑动轴18的冷却构件202。如果使用众所周知的冷去方法,则不特别将冷却构件200和202具体化。冷却构件200附接在板状部件16的沟槽部(绝热部16A和外部绝热部16B)的正下方。通过冷却构件200和202的冷却的程度由加热器控制器控制。
例如,当等离子被升高至1kW时,基座的温度由射频能量增加至某一程度。在一些情况下,该温度上升使得难以实现低温加工。在根据本发明的第七实施例的基座中,因此,基座通过冷却构件200和202冷却以限制基座的温度上升,从而使得能够实现低温加工。
在板状部件的上表面侧,第一部16a、第二部16b以及第三部16c彼此热分离。在板状部件的下表面侧,然而,这些部分并不彼此热分离。因此,第一至第三部分16a、16b以及16c之间的热传递主要发生在板状部件的下表面侧。在根据本发明的第七实施例的基座中,冷却构件200设置在板状部件16的下表面侧以限制该热传递。更具体地,冷却构件200设置在沟槽部(绝热部16A和外绝热部16B)的正下方,从而能够限制第一部16a与第二部16b之间的热传递以及第二部16b与第三部16c之间的热传递。冷却构件200可以包括在板状部件16中,而冷却构件202可以包括在滑动轴18中。
第八实施例
图12为根据第八实施例的基座的剖视图。在第一至第七实施例中,沟槽部设置在上表面侧上的作为用于使板状部件的第一部和第二部彼此绝热的绝热部的板状部件中。然而,第八实施例中的绝热部为设置在板状部件16中的冷却装置210。通过冷却装置210的冷却的程度由加热器控制器控制。操作冷却装置210的同时操作第一加热器50和第二加热器52。随后通过使用冷却装置210的冷却使第一部16a和第二部16b彼此绝热。优选的是,特别在板状部件16的上表面侧使第一部16a和第二部16b绝热。第一部16a与第二部16b的绝热能够在基质中创建明确的温度差而不设置任何沟槽。可以做出和使用上述实施例的合适的结合。
根据本发明,基座中的某些不同的区域通过绝热部彼此绝热,因此能够创建明确的温度差。
第九实施例
图13为根据本发明的第九实施例的基座的剖视图。用于冷却设置在板状部件16上表面侧上的、作为用于使第一部16a和第二部16b彼此绝热的部分的沟槽部16A和板状部件16的正下方的沟槽16A’部分的冷却装置90沿沟槽部16A设置。冷却装置90具有在两条线路中的冷却介质通道91和92。冷却介质的流动在冷却介质通道91和92中彼此分开地形成。不将冷却介质的温度特别具体化。冷却介质的温度为,例如,大约20℃。
冷却介质通道91包括大致竖直延伸的竖直冷却介质通道91a、连接至竖直冷却介质通道91a的第一冷却介质通道91b以及连接至第一冷却介质通道91b的第二冷却介质通道91c。竖直冷却介质通道91a设置为冷却介质在滑动轴18和第一部16a中大致竖直流动所通过的冷却介质通道。第一冷却介质通道91b延伸穿过第一部16a。第二冷却介质通道91c延伸穿过板状部件16的沟槽16A’的正下方的部分。
冷却介质通道92包括大致竖直延伸的竖直冷却介质通道92a、连接至竖直冷却介质通道92a的第一冷却介质通道92b以及连接至第一冷却介质通道92b的第二冷却介质通道92c。竖直冷却介质通道92a设置为冷却介质在滑动轴18和第一部16a中大致竖直流动所通过的冷却介质通道。第一冷却介质通道92b延伸穿过第一部16a。第二冷却介质通道92c延伸穿过板状部件16的沟槽16A’的正下方的部分。
竖直冷却介质通道91a和92a以及第一冷却介质通道91b和92b中的每个黑色粗线指示冷却介质流动所通过的管。所述管被中空件94包围以便冷却介质不与板状部件16和滑动轴18接触。中空件94阻碍冷却介质与板状部件16之间以及冷却介质与滑动轴18之间的热传递。在竖直冷却介质通道91a和92a以及第一冷却介质通道91b和92b中,因此,冷却介质不与板状部件16和滑动轴接触以使温度增加。除了中空件94以外的部件可以采用为用于阻碍冷却介质与板状部件16之间以及冷却介质与滑动轴18之间的热传递的热传递抑制部件。
另一方面,在第二冷却介质通道91c和92c中,冷却介质与板状部件16彼此接触。因此,板状部件16的沟槽16A’正下方的部分由冷却介质冷却。
图14为在图13中示出的基座15的平面图。为了便于描述,冷却介质通道91和92由虚线指示。冷却介质的流动将参照图14进行描述。图14中的箭头指示冷却介质流动的方向。首先,已经穿过竖直冷却介质通道91a的冷却介质到达第一冷却介质通道91b。冷却介质随后穿过第一冷却介质通道91b以从第一部16a的中央部向沟槽部16A的正下方的位置前进并且到达第二冷却介质通道91c。在竖直冷却介质通道91a和第一冷却介质通道91b中,由于冷却介质与基座15彼此不接触,所以冷却介质的温度基本上没有增加。
已经到达第二冷却介质通道91c的入口的冷却介质前进,以便在沟槽16A’下方描绘半圆形路径并且到达第三冷却介质通道91d,所述第三冷却介质通道91d为从沟槽部16A正下方的位置向第一部16a的中央延伸的通道。与竖直冷却介质通道91a类似的,已经前进穿过第三冷却介质通道91d的冷却介质到达竖直冷却介质通道91e,所述竖直冷却介质通道91e在板状部件16和滑动轴18中设置竖直冷却介质通道。冷却介质通过竖直冷却介质通道91e向下流动以排出至外部。用于阻碍冷却介质与板状部件16之间以及冷却介质与滑动轴18之间的热传递的热传递抑制部件设置在第三冷却介质通道91d和竖直冷却介质通道91e中,与竖直冷却介质通道91a和第一冷却介质通道91b一样。在第三冷却介质通道91d和竖直冷却介质通道91e中,因此,基本上没有发生冷却介质的温度因冷却介质穿过第三冷却介质通道91d和竖直冷却介质通道91e的通过而增加。
因此,冷却介质通道91为了穿过在平面图中设置为环形的沟槽部16A的正下方的半圆形区域的冷却而设置。
将描述冷却介质在作为与冷却介质通道91不同的通道的冷却介质通道92中的流动。首先,已经穿过竖直冷却介质通道92a的冷却介质到达第一冷却介质通道92b。冷却介质随后穿过第一冷却介质通道92b以从第一部16a的中央部向沟槽部16A的正下方的位置前进并且到达第二冷却介质通道92c。在竖直冷却介质通道92a和第一冷却介质通道92b中,由于冷却介质与基座15彼此不接触,所以冷却介质的温度基本上没有增加。
已经到达第二冷却介质通道92c的入口的冷却介质前进,以便在沟槽16A’下方描绘半圆形路径并且到达第三冷却介质通道92d,所述第三冷却介质通道92d为从沟槽部16A的正下方向第一部16a的中央延伸的通道。已经前进穿过第三冷却介质通道92d的冷却介质到达竖直冷却介质通道92e,与竖直冷却介质通道92a类似的,所述竖直冷却介质通道92e在板状部件16和滑动轴18中设置竖直冷却介质通道。冷却介质向下流动穿过竖直冷却介质通道92e以排放至外部。用于阻碍冷却介质与板状部件16之间以及冷却介质与滑动轴18之间的热传递的热传递抑制部件设置在第三冷却介质通道92d和竖直冷却介质通道92e中,与竖直冷却介质通道92a和第一冷却介质通道92b一样。在第三冷却介质通道92d与竖直冷却介质通道92e中,因此,基本上没有发生冷却介质的温度因冷却介质穿过第三冷却介质通道92d和竖直冷却介质通道92e的通过而增加。
因此,冷却介质通道92为了穿过在平面图中设置为环形的沟槽部16A的正下方的半圆形区域的冷却而设置。
在“流入部”处或者冷却介质从第一冷却介质通道91b和92b进入第二冷却介质通道91c和92c的位置处的冷却介质温度低于在“流出部”处或者冷却介质从第二冷却介质通道91c和92c流入到第三冷却介质通道91d和92d中的位置处的冷却介质温度。这意味着如果两个流入部彼此接近,则沟槽部16A正下方的部分不能被均匀地冷却。在本发明的第九实施例中,因此,两个流入部彼此间隔开。更具体地,设置两个流入部以便直线连接穿过第一部16a的中央的两个流入部。如果第二冷却介质通道91c中的冷却介质被引起顺时针流动,则第二冷却介质通道92c中的冷却介质也被引起顺时针流动。如果第二冷却介质通道91c中的冷却介质被引起逆时针流动,则第二冷却介质通道92c中的冷却介质也被引起逆时针流动。即,第二冷却介质通道91c和92c中的冷却介质的流动方向被设定为彼此一致。
在根据本发明的第九实施例的基座中,设置有用于冷却板状部件16的沟槽16A’正下方的部分的冷却装置90,以便第一部16a与第二部16b之间通过沟槽16A’正下方的部分的热传导能够被抑制。结果,能够比第一实施例更明确地在基质中创建温度差。
图15为示出根据本发明的第九实施例的基座15的表面中的温度分布的图像。实线箭头与虚线箭头指示冷却介质的流动方向。从该图像,能够理解在第一部16a和第二部16b之间创建了明确的温度差。
图16为示出关于依靠冷却装置的存在/不存在的基座的温度分布的变化的图表。使用“没有冷却装置”示出的两个图像为示出根据通过从图13中示出的结构除去冷却装置形成的比较例的基座的表面中的温度分布的图像。使用“具有冷却装置”示出的两个图像为分别示出根据本发明的第九实施例的基座的表面中的温度分布的图像。基座是用水以0.4升/分钟的流量速度作为冷却介质来冷却。在全部获得由四个图像示出的温度分布的情况下,设定温度为75至100℃,并且用于中央部和外部温度设定被最大化。
如能够从四个图像理解的,能够在由沟槽部划界的内外地带之间创建出明确的温度差。当使用“没有冷却装置”示出的两个图像中的温度分布中的中央部与外部之间的温度差在最大值处为大约1.5℃时,通过执行冷却而获得的两个图像中的温度分布中的中央部和外部之间的温度差增加至7℃。从该结果,能够理解通过沟槽16A’正下方的部分的热传递能够由冷却装置90有效地抑制。因此,在本发明的第九实施例中,能够在从大约75至100℃的低温度区域(其中热辐射小并且难以创建温度差)中的地带之间能够创建明确的温度差。
将参照图17至图22描述使用根据第九实施例的基座实现的低温度加工。图17为示出关于只具有沟槽部而不具有冷却装置90的基座在等离子加工中的温度改变的图表。“加热器功率”代表加热器的功率,而“加热器温度”代表基座的温度。在伴有等离子的加工中,由于等离子的影响基座的温度能够被简单地增加。图17示出了甚至在加热器关闭所处的时间t1之后由于等离子的影响基座的温度继续增加的状态。如果基座的温度以这种方式增加,基座被加工的低温加工不能以低温执行。
图18为示出关于根据本发明的第九实施例的基座在等离子加工中的温度改变的图表。由于基座15被冷却装置90冷却,加热器温度能够大致不变地维持,同时一定的电流被引起流过加热器。基座温度能够因此被防止继续增加,因此能够实现低温加工。
图19为示出当基座的目标温度被设定为80℃时等离子加工中的基座的温度变迁的图表。虚线指示当没有使用冷却装置90时的温度变迁,同时实线指示当使用冷却装置90时的温度变迁。能够理解的是,冷却装置90的设置能够将目标温度维持在80℃。
图20为示出当基座的目标温度被设定为30℃时等离子加工中的基座的温度变迁的图表。虚线指示当不使用冷却装置90时的温度变迁,同时实线指示当使用冷却装置90时的温度变迁。在没有冷却装置90的情况下基座的温度不能被保持至30℃。能够理解的是,冷却装置90的设置能够维持30℃的目标温度。在图19和图20中示出的数据在加热器关闭的情况下获得。
因此,通过使用冷却装置90并通过将基座温度设定至例如大约80℃或30℃的低温而能够执行等离子加工。等离子加工中的基座温度大大地影响薄膜生长速度或者蚀刻速度。图21为示出通过改变基座的温度而改变生长率(每周期的生长率)的图表。图22为示出通过改变基座的温度而改变蚀刻速率的图表。通过由根据本发明的第九实施例的基座15改变基座温度而能够实现期望的薄膜生长或时刻速度。
在不失去基座的特征的范围内能够对根据本发明的第九实施例的基座做出各种变型。例如,沟槽部16A的数量、每个沟槽部16A的形状以及冷却介质通道91和92的形状能够根据需要改变,例如根据加工需要。当分别具有第一冷却介质通道和第二冷却介质通道的两个冷却介质通道设置在第九实施例中,可以设置三个或更多独立的冷却介质通道。优选地,在这样的情况下,冷却介质从第一冷却介质通道进入第二冷却介质通道所处的位置大致沿着沟槽部16A等间隔地设置。
第十实施例
图23为根据本发明的第十实施例的基座的剖视图。在第九实施例中,冷却装置90的第二冷却介质通道91a和92c包括在沟槽16A’正下方的板状部件16中。在第十实施例中,冷却装置的第二冷却介质通道95c包括在沟槽16A’正下方的板状部件16的部分的相对侧的位置中。已经从竖直冷却介质通道95a前进到第一冷却介质通道95b中的冷却介质绕两圈通过板状部件16中的第二冷却介质通道95c。
图24为示出根据本发明的第十实施例的基座的表面中的温度分布的图像。图24中的箭头指示冷却介质的流动方向。首先,已经穿过竖直冷却介质通道95a的冷却介质到达第一冷却介质通道95b。冷却介质然后穿过第一冷却介质通道95b以从第一部16a的中央部到达第二冷却介质通道95c。第一冷却介质通道由标注有“IN”的虚线箭头指示。如在平面中观察到的,第二冷却介质通道95c绕两圈通过沟槽下方的部分。此后,冷却介质被第三冷却介质通道引向第一部的中央部,到达竖直冷却介质通道,并且排出至外部。第三冷却介质通道由标注有“OUT”的虚线箭头指示。在两个竖直冷却介质通道中,第一冷却介质通道和第三冷却介质通道、热传递抑制部件防止冷却介质和基座彼此接触,以便基本上不增加冷却介质的温度。
由于第十实施例中的第二冷却介质通道被设置为沿沟槽绕两圈,冷却介质通道布局相比于根据第九实施例的冷却装置中的冷却介质通道布局是复杂的。然而,能够改进抑制沟槽16A’正下方的板状部件16中的热传导的效果。
第十一实施例
图25为根据第十一实施例的基座的剖视图。用于冷却沟槽16A’下方的板状部件16的一部分的第二冷却介质通道96c被设置为绕两圈,并且用于冷却沟槽16B’下方的板状部件16的一部分的第二冷却介质通道96c被设置为绕两圈。
具有介于绝热薄膜之间的上表面和下表面的薄膜生热构件100设置在第一部16a、第二部16b以及第三部16c上。薄膜生热构件100通过在薄的绝热构件上印刷诸如钨并且在薄膜生热构件上设置薄的绝热构件而制成。薄膜生热构件100的定形的自由度是高的,并且薄膜生热构件100容易互换。因此用户能够灵活地设定基座的温度。
第十二实施例
图26为根据第十二实施例的基座的平面图。绝热部190设置在第一部16a和第二部16b之间。绝热部190为导热率比第一部16a和第二部16b低的部分。
图27为沿图26中的线27-27剖切的基座的剖视图。绝热部190的上表面、第一部16a的上表面以及第二部16b的上表面形成一个平坦的表面。由于绝热部190的存在,第一部16a和第二部16b并不彼此抵接。
图28为绝热部190的放大图。作为绝热部190的材料,使用导热率低于第一部16a和第二部16b的材料。如果第一部16a和第二部16b的材料为铝,绝热部190的材料为,例如,不锈钢或钛。如果第一部16a和第二部16b的材料为氮化铝,绝热部190的材料为,例如,石英。氧化铝可以用作绝热部190的材料。
优选的是,尽可能接近地设定绝热部190、第一部16a和第二部16b的热膨胀系数。同样优选的是,为了确保板状部件16的强度,形成具有高硬度的材料的绝热部190。
绝热部190从板状部件16的上表面向下表面延伸。因此第一部16a和第二部16b物理地彼此隔开。绝热部190用作用于已知第一部16a与第二部16b之间的热传导的热屏障。由于在板状部件16中没有形成沟槽,所以相比于存在沟槽的情况能够增加板状部件16的机械强度。
所认为的是,当沟槽部设置在板状部件中时,基质温度在沟槽正上方最小化。然而,由于绝热部190具有第一部16a和第二部16b之间的温度,绝热部190正上方的基质温度降低的问题能够被避免。
图29为根据变型例的基座的一部分的剖视图。绝热部192、第一部16a以及第二部16b由相同的材料形成。多个空隙192a设置在绝热部192中。由于空隙192a的设置,绝热部192的导热率低于第一部16a和第二部16b。
例如,通过在控制的状态下以高温加热氮化铝以形成空隙192a,氧化铝的一部分可以被蒸发并凝结为固体。在基座由陶瓷形成的情况下,空隙192a在形成不同的层之前在控制的状态下形成。在任一种情况下,空隙192a为形成在绝热部192中的气泡。
图30为根据另一个变型例的基座的一部分的剖视图。绝热部194、第一部16a和第二部16b由相同的材料形成。多个空隙194a设置在绝热部194中。空隙194a通过机加工而形成。更具体地,沟槽以多个材料形成,并且所述多个材料被堆叠以形成板状部件,因此设置空隙194a。多个材料通过燃烧或烧结加热处理而接合为一个。可以做出并使用上述实施例的合适的结合。
显然,在上述教导的范围中能够对本发明进行变型或改变。因此能够理解的是,在所附的权利要求书的范围内,可以除了具体描述的以外地实践本发明。

Claims (9)

1.一种基座,包括:
板状部件,第一加热器和第二加热器包括于其中;
所述第一加热器用于加热所述板状部件的第一部;
所述第二加热器用于加热所述板状部件的第二部;
绝热部,其用于使所述第一部和所述第二部在所述板状部件的上表面侧彼此绝热,其中所述绝热部为设置在所述上表面侧的所述板状部件中的沟槽部;
第一闭合部,其设置在所述第一部上以使所述沟槽部中的沟槽的一部分闭合而不接触所述第二部;以及
第二闭合部,其设置在所述第二部上以使所述沟槽部中的沟槽的一部分闭合而不接触所述第一部和所述第一闭合部。
2.根据权利要求1所述的基座,其中,如在平面中观察到的,所述第二部包围所述第一部。
3.根据权利要求2所述的基座,进一步包括:
第三部,其形成为所述板状部件的一部分,如在平面中观察到的,所述第三部包围所述第二部;
第三加热器,其用于加热所述第三部;以及
外绝热部,其用于使所述第二部与所述第三部在所述板状部件的所述上表面侧彼此绝热。
4.根据权利要求1所述的基座,其中如在平面中观察到的,所述第一部和所述第二部中的每个形成为扇形。
5.根据权利要求1所述的基座,其中所述第一部为包括所述板状部件的外边缘的部分,而所述第二部为包括所述板状部件的外边缘的部分。
6.根据权利要求2所述的基座,进一步包括:
多个第三部,其形成为所述板状部件的一部分,如在平面中观察到的,所述第三部整体包围所述第二部;
多个第三加热器,其以一一对应的关系设置在所述多个第三部中;
外绝热部,其用于使所述第二部与所述多个第三部在所述板状部件的所述上表面侧彼此绝热;以及
外边缘侧绝热部,其用于使所述多个第三部在所述板状部件的所述上表面侧彼此绝热。
7.根据权利要求1所述的基座,进一步包括附接至所述板状部件的冷却构件。
8.根据权利要求1所述的基座,进一步包括附接至所述板状部件的冷却构件,
其中所述冷却构件附接至所述沟槽部正下方的所述板状部件。
9.根据权利要求1所述的基座,进一步包括静电卡盘。
CN201610607367.9A 2015-08-17 2016-07-28 基座及基质加工设备 Active CN106469666B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110823500.5A CN113555270A (zh) 2015-08-17 2016-07-28 基座及基质加工设备

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/828,304 2015-08-17
US14/828,304 US20170051402A1 (en) 2015-08-17 2015-08-17 Susceptor and substrate processing apparatus
US15/203,433 US20170051406A1 (en) 2015-08-17 2016-07-06 Susceptor and substrate processing apparatus
US15/203,433 2016-07-06

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202110823500.5A Division CN113555270A (zh) 2015-08-17 2016-07-28 基座及基质加工设备

Publications (2)

Publication Number Publication Date
CN106469666A CN106469666A (zh) 2017-03-01
CN106469666B true CN106469666B (zh) 2021-08-13

Family

ID=58157803

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610607367.9A Active CN106469666B (zh) 2015-08-17 2016-07-28 基座及基质加工设备
CN202110823500.5A Pending CN113555270A (zh) 2015-08-17 2016-07-28 基座及基质加工设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202110823500.5A Pending CN113555270A (zh) 2015-08-17 2016-07-28 基座及基质加工设备

Country Status (5)

Country Link
US (3) US20170051402A1 (zh)
JP (1) JP6758112B2 (zh)
KR (1) KR102617065B1 (zh)
CN (2) CN106469666B (zh)
TW (1) TWI725979B (zh)

Families Citing this family (276)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10266414B2 (en) * 2015-06-16 2019-04-23 Hemlock Semiconductor Operations Llc Susceptor arrangement for a reactor and method of heating a process gas for a reactor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
TWI805162B (zh) * 2017-04-18 2023-06-11 日商東京威力科創股份有限公司 被處理體之處理裝置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102406644B1 (ko) * 2017-05-10 2022-06-08 세메스 주식회사 통합 플레이트 및 이를 포함하는 기판 처리 장치
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) * 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10415899B2 (en) 2017-12-28 2019-09-17 Asm Ip Holding B.V. Cooling system, substrate processing system and flow rate adjusting method for cooling medium
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7088732B2 (ja) * 2018-04-27 2022-06-21 株式会社堀場エステック 基板処理装置及び基板処理装置用プログラム
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108777252A (zh) * 2018-05-29 2018-11-09 上海科发电子产品有限公司 一种用于混合集成电路外壳的烧结模具
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20200008246A (ko) * 2018-07-16 2020-01-28 삼성전자주식회사 기판 본딩용 진공척, 이를 포함하는 기판 본딩 장치 및 이를 이용한 기판 본딩 방법
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
TW202046395A (zh) 2019-02-28 2020-12-16 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
JP7285692B2 (ja) * 2019-05-17 2023-06-02 東京エレクトロン株式会社 乾燥装置、基板処理システム、および乾燥方法
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7330078B2 (ja) * 2019-11-25 2023-08-21 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
JP2021125517A (ja) * 2020-02-04 2021-08-30 日本碍子株式会社 セラミックヒータ
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230024400A (ko) * 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022047847A (ja) * 2020-09-14 2022-03-25 株式会社Kelk ウェーハの温度調節装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024051933A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ
JP2024051768A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2565156Y2 (ja) * 1991-12-28 1998-03-11 国際電気株式会社 半導体製造装置及びそのウェーハ置台
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JP3446772B2 (ja) * 1993-06-29 2003-09-16 東京エレクトロン株式会社 載置台および減圧処理装置
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
JP2002158178A (ja) * 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6656838B2 (en) * 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US20060027169A1 (en) * 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7815740B2 (en) * 2005-03-18 2010-10-19 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate processing method
TW200711029A (en) * 2005-08-05 2007-03-16 Tokyo Electron Ltd Substrate processing apparatus and substrate stage used therein
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US20080035306A1 (en) * 2006-08-08 2008-02-14 White John M Heating and cooling of substrate support
KR100885467B1 (ko) * 2008-11-04 2009-02-24 주식회사 아토 반도체 소자의 배선 형성 방법
US8507352B2 (en) * 2008-12-10 2013-08-13 Denso Corporation Method of manufacturing semiconductor device including insulated gate bipolar transistor and diode
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
JP5465449B2 (ja) * 2009-03-19 2014-04-09 大日本スクリーン製造株式会社 熱処理用サセプタおよび熱処理装置
KR20120001661U (ko) * 2010-08-30 2012-03-08 주식회사 케이씨텍 서셉터 및 그를 구비한 원자층 증착 장치
JP5859792B2 (ja) * 2011-09-27 2016-02-16 東京エレクトロン株式会社 プラズマエッチング方法
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
KR101455789B1 (ko) * 2013-08-06 2014-11-03 주식회사 알지비하이텍 기판처리장치의 서셉터 및 그를 가지는 기판처리장치
JP6239894B2 (ja) * 2013-08-07 2017-11-29 日本特殊陶業株式会社 静電チャック
KR20150046966A (ko) * 2013-10-23 2015-05-04 삼성디스플레이 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JPWO2015108065A1 (ja) * 2014-01-15 2017-03-23 東京エレクトロン株式会社 成膜方法及び熱処理装置
US10079165B2 (en) * 2014-05-20 2018-09-18 Applied Materials, Inc. Electrostatic chuck with independent zone cooling and reduced crosstalk
US9691645B2 (en) * 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems

Also Published As

Publication number Publication date
US20170051406A1 (en) 2017-02-23
JP2017041628A (ja) 2017-02-23
TW201718928A (zh) 2017-06-01
CN113555270A (zh) 2021-10-26
KR20170021211A (ko) 2017-02-27
JP6758112B2 (ja) 2020-09-23
US20210087680A1 (en) 2021-03-25
US20170051402A1 (en) 2017-02-23
CN106469666A (zh) 2017-03-01
KR102617065B1 (ko) 2023-12-26
TWI725979B (zh) 2021-05-01

Similar Documents

Publication Publication Date Title
CN106469666B (zh) 基座及基质加工设备
JP5523326B2 (ja) 静電チャックアセンブリ
KR102471635B1 (ko) 극도의 균일성의 가열식 기판 지지 조립체
KR102374523B1 (ko) 유전체 물질들의 화학적 에칭을 위한 챔버 장치
US20120074126A1 (en) Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
WO2010053173A1 (ja) 半導体ウェーハの温度制御装置および温度制御方法
TW201518538A (zh) 像素化冷卻溫度控制的基板支撐組件
TWI674646B (zh) 用於電漿處理的雙區式加熱器
KR102616707B1 (ko) 에지 링의 온도 및 바이어스 제어
US20170211185A1 (en) Ceramic showerhead with embedded conductive layers
TW202036199A (zh) 可控制溫度的處理腔室,電子裝置處理系統,及製造方法
CN115362542A (zh) 具有热调谐腔特征的晶片卡盘
TWI797678B (zh) 用於面板溫度控制的系統和方法
US20220127723A1 (en) High heat loss heater and electrostatic chuck for semiconductor processing
US20220002866A1 (en) Pedestal including vapor chamber for substrate processing systems
WO2014116434A1 (en) Substrate processing chamber components incorporating anisotropic materials
TW201944855A (zh) 用於hdp cvd的帶有嵌入式加熱元件和嵌入式rf線圈的進階陶瓷蓋及感應耦合電漿處理腔室

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant