JP6758112B2 - サセプタ、基板処理装置 - Google Patents

サセプタ、基板処理装置 Download PDF

Info

Publication number
JP6758112B2
JP6758112B2 JP2016137240A JP2016137240A JP6758112B2 JP 6758112 B2 JP6758112 B2 JP 6758112B2 JP 2016137240 A JP2016137240 A JP 2016137240A JP 2016137240 A JP2016137240 A JP 2016137240A JP 6758112 B2 JP6758112 B2 JP 6758112B2
Authority
JP
Japan
Prior art keywords
plate
shaped
susceptor
shaped portion
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016137240A
Other languages
English (en)
Other versions
JP2017041628A (ja
Inventor
森 幸博
幸博 森
バーバス メルビン
バーバス メルビン
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ., エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2017041628A publication Critical patent/JP2017041628A/ja
Application granted granted Critical
Publication of JP6758112B2 publication Critical patent/JP6758112B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Description

本発明は、基板を支持するサセプタ、及びサセプタを備えた基板処理装置に関する。
特許文献1には、1以上のゾーンを有する基板支持台のうちの1つのゾーンに100%の電力を加え、他のゾーンに50%の電力を加えることが開示されている。
米国特許第6469283号明細書
半導体や液晶の製造工程では、意図的に基板の温度を不均一にして、基板に処理を施すことがある。例えば、基板の温度を不均一にして基板に成膜することで、基板に形成される薄膜の膜厚を不均一にしたり、膜質を不均一にしたりすることがある。このような処理を実現するためには、基板のある場所と別の場所で明確な温度差をつけることが好ましい。
特許文献1に開示の基板支持台は、複数のゾーンを別々に加熱できるものであるが、基板支持台の上面において複数のゾーンがつながっている。通常、基板支持台(サセプタ)は、アルミニウム、窒化アルミニウム(AlN)、炭素又は炭化ケイ素(SiC)などの熱伝導の良い材料で作られる。そのため、特許文献1の基板支持台では、あるゾーンから別のゾーンへの熱の移動が活発となり、基板のある場所と別の場所で明確な温度差をつけることができなかった。
本発明は、上述のような課題を解決するためになされたもので、基板に明確な温度差をつけることができるサセプタ、及びそのサセプタを備えた基板処理装置を提供することを目的とする。
本願の発明に係るサセプタは、板状部と、該板状部の第1部分を加熱する第1ヒータと、該板状部の第2部分を加熱する第2ヒータと、該板状部の上面側において、該第1部分と該第2部分を断熱する、該板状部の該上面側に設けられた溝部である断熱部と、該第1部分の上に設けられ、該第2部分と接触せず、該溝部の溝の一部を塞ぐ、第1閉塞部と、該第2部分の上に設けられ、該第1部分及び該第1閉塞部と接触せず、該溝部の溝の一部を塞ぐ、第2閉塞部と、を備えたことを特徴とする。
本願の発明に係る基板処理装置は、板状部と、該板状部の第1部分を加熱する第1ヒータと、該板状部の第2部分を加熱する第2ヒータと、該板状部の上面側において、該第1部分と該第2部分を断熱する断熱部と、を有したサセプタと、該サセプタを収容するチャンバと、該チャンバの側面に取り付けられたガス排気部と、を備え、該第1部分は、該板状部の外縁を含む部分であり、該第2部分は、該板状部の外縁を含む部分であり、平面視で、該ガス排気部と該第1部分が対向することを特徴とする。
本願の発明に係る他の基板処理装置は、板状部と、該板状部の第1部分を加熱する第1ヒータと、該板状部の第2部分を加熱する第2ヒータと、該板状部の上面側において、該第1部分と該第2部分を断熱する断熱部と、を有したサセプタと、該サセプタを収容するチャンバと、該チャンバの側面に取り付けられたゲートバルブと、を備え、該第1部分は、該板状部の外縁を含む部分であり、該第2部分は、該板状部の外縁を含む部分であり、平面視で、該ゲートバルブと該第2部分が対向することを特徴とする。
本発明によれば、サセプタのある領域と別の領域とを断熱部で断熱するので、基板に明確な温度差をつけることができる。
本発明の実施の形態1に係る基板処理装置の断面図である。 板状部の平面図である。 サセプタの温度制御方法を示す図である。 板状部にのせられた基板を示す図である。 サセプタ表面の温度を示すグラフである。 実施の形態2に係るサセプタの平面図である。 実施の形態3に係る基板処理装置の平面図である。 実施の形態4に係る基板処理装置の平面図である。 実施の形態5に係るサセプタ等の断面図である。 実施の形態6に係るサセプタの断面図である。 実施の形態7に係るサセプタ等の断面図である。 実施の形態8に係るサセプタの断面図である。 実施の形態9に係るサセプタの断面図である。 図13のサセプタの平面図である。 実施の形態9に係るサセプタの表面温度分布を示す画像である。 冷却装置の有無によるサセプタの温度分布の違いを示す図である。 溝部のみを有し冷却装置がないサセプタについての、プラズマ工程における温度変化を示す図である。 本発明の実施の形態9に係るサセプタについての、プラズマ工程における温度変化を示す図である。 サセプタの目標温度を80℃に設定したときの、プラズマ工程におけるサセプタの温度推移を示す図である。 サセプタの目標温度を30度に設定したときの、プラズマ工程におけるサセプタの温度推移を示す図である。 成長レートの変化を示す図である。 エッチングレートの変化を示す図である。 実施の形態10に係るサセプタの断面図である。 実施の形態10に係るサセプタの表面温度分布を示す画像である。 実施の形態11に係るサセプタの断面図である。 実施の形態12に係るサセプタの平面図である。 図26のサセプタの27−27線に沿った断面図である。 断熱部の拡大図である。 変形例に係るサセプタの一部断面図である。 他の変形例に係るサセプタの一部断面図である。
本発明の実施の形態に係るサセプタと基板処理装置について図面を参照して説明する。同じ又は対応する構成要素には同じ符号を付し、説明の繰り返しを省略する場合がある。
実施の形態1.
図1は、本発明の実施の形態1に係る基板処理装置10の断面図である。基板処理装置10は、例えば基板に対しPEALD(Plasma Enhanced Atomic Layer Deposition)を施す成膜装置として構成されている。基板処理装置10はチャンバ(Reactor Chamber)12を備えている。チャンバ12内にはRF電力が印加されるRF電極14が設けられている。RF電極14にはスリット14aが形成されている。
チャンバ12内にRF電極14と対向するようにサセプタ15が設けられている。サセプタ15は、板状部16と、板状部16を支持する滑動シャフト18を備えている。RF電極14と板状部16で平行平板構造が形成されている。
RF電極14には、絶縁部品20を介してガス供給部22が接続されている。ガス供給部22はRF電極14とサセプタ15の間に材料ガスを供給する部分である。RF電極14とチャンバ12の間に排気ダクト30が設けられている。排気ダクト30は例えばセラミックで形成されている。排気ダクト30とRF電極14の間には適度に圧縮されたOリング32が設けられている。排気ダクト30とチャンバ12の間には適度に圧縮されたOリング34が設けられている。
排気ダクト30は、板状部16を囲むように、平面視で環状に形成されている。排気ダクト30により、板状部16の上の処理空間17を囲む環状流路30bが提供されている。排気ダクト30には、処理空間17に供給されたガスを環状流路30bに導く環状のスリット30a、及び環状流路30bのガスを外部に排出する排気口30cが形成されている。
排気口30cは、チャンバ12の側面に設けられたガス排気部40につながる。ガス排気部40は、成膜に使用された材料ガスを排気するために設けられている。ガス排気部40にはバルブ42と真空ポンプ44が接続されている。バルブ42と真空ポンプ44により排気量を調整することでチャンバ12内の圧力を自在に制御できる。
板状部16の厚みは例えば33mmである。板状部16の材料は、アルミニウムなどの熱伝導性のよい材料とすることが好ましい。板状部16には第1ヒータ50と第2ヒータ52が埋め込まれている。第1ヒータ50と第2ヒータ52は例えば抵抗ヒータである。第1ヒータ50と第2ヒータ52の間には、断熱部16Aが設けられている。断熱部16Aは溝(間隙)を提供する溝部である。断熱部16Aにより、板状部16の上面に溝が提供されている。
図2は、板状部16の平面図である。板状部16の直径は、例えば、直径300mmの基板を支持するために325mmとしている。板状部16の中央の部分は第1部分16aである。第1ヒータ50は第1部分16aに環状に設けられている。第1ヒータ50は第1部分16aを加熱するヒータである。第1ヒータ50は破線で示されている。第1ヒータ50の中心径は例えば180mmである。なお、中心径とは外径と内径の和を2で割って算出される。
板状部16の外側の部分は第2部分16bである。第2部分16bは平面視で第1部分16aを囲む。第2ヒータ52は第2部分16bに環状に設けられている。第2ヒータ52は第2部分16bを加熱するヒータである。第2ヒータ52は破線で示されている。第2ヒータ52の中心径は例えば280mmである。第1ヒータ50と第2ヒータ52は同心円状に設けられている。
断熱部16Aは平面視で環状に形成されている。断熱部16Aは、第1部分16aの側面と、第1部分16aの側面と離れた第2部分16bの側面と、これらの面をつなぐ底面によって構成されている。断熱部16Aによって溝16A’が提供されている。断熱部16Aは、板状部16の上面側において、第1部分16aと第2部分16bを断熱する断熱部として機能する。溝16A’の寸法は、例えば幅が1.5mmであり、深さが23mmであり、中心径が247.5mmである。
図3は、サセプタの温度制御方法を示す図である。第1ヒータ50は、配線50aによりヒータコントローラ60に接続されている。第2ヒータ52は、配線52aによりヒータコントローラ60に接続されている。第1ヒータ50を制御するヒータコントローラと第2ヒータ52を制御するヒータコントローラを別々のコントローラとしてもよい。
配線50a、52aは、滑動シャフト18を通り、滑動シャフト18の下端から外部に伸びる。したがって、配線50a、52aがチャンバ12の内で露出することはない。仮に、板状部16の側面から配線50a、52aを外部に出すと、チャンバ12の内に配線50a、52aが露出するので、配線50a、52aの被膜がプラズマにさらされ好ましくない。また、板状部16の側面から配線50a、52aを外部に出すと、サセプタ15が上下する際に、配線50a、52aにダメージが及ぼされるおそれがある。従って、配線50a、52aは滑動シャフト18の下端から取り出すことが好ましい。
ヒータコントローラ60には、PMC(Process Module Controller)62が接続されている。PMC62にはUPC(Unique Platform Controller)64が接続されている。板状部16にはサセプタ15の温度を測定する温度測定部65が取り付けられている。温度測定部65は例えば熱電対である。温度測定部65により測定された温度の情報は、温度コントローラ66に伝送される。この情報は、サセプタ15の温度制御に利用される。
上述のサセプタ15を備えた基板処理装置10による基板の処理方法を説明する。真空ポンプ44は常時稼動させ、チャンバ12の内を真空に保つ。まず、板状部16に処理対象となる基板をのせる。図4は、板状部16にのせられた基板70を示す図である。基板70は、第1部分16aと第2部分16bの両方にのせられる。第1部分16aの上の部分を基板中央部70Aと称する。第2部分16bの上の部分を基板外縁部70Bと称する。裏面にSiO膜などの膜がついている基板については、その膜が吸水しており、加熱したサセプタにのせるとすべることがある。そこで、基板が板状部16に対して滑ることがないように、板状部16の上面に、基板70を収容する浅い溝を設けることが好ましい。あるいは、板状部16の上面に微小な凸部を設け、その凸部に基板の側面があたることで基板の滑りを防止しても良い。
次いで、基板70を加熱する。基板70の処理条件が記述されたレシピには、第1部分16aの目標温度と第2部分16bの目標温度が設定されている。この設定に基づき、ヒータコントローラ60が、第1ヒータ50と第2ヒータ52に通電し、第1部分16aと第2部分16bを目標温度にする。例えば、第1部分16aを300℃とし、第2部分16bを305℃とする。
このとき、溝16A’は真空となっているので溝16A’は断熱層として機能する。断熱部16A(溝16A’)により、板状部16の上面側において、第1部分16aと第2部分16bの間の熱の移動を抑制することができる。つまり、断熱部16Aにより板状部16の上面側において第1部分16aと第2部分16bが断熱されているので、板状部16の上面側において明確な温度差をつけることができる。
図5は、サセプタ表面の温度を示すグラフである。実線は、本発明の実施の形態1に係る板状部16の表面温度を示す。具体的には、実線は、図2のA−A’線に沿った温度分布を示す。第1部分16aでは、レシピで設定されたとおりの温度(300℃)を概ね実現できている。また、第2部分16bでもレシピで設定された温度(305℃)を概ね実現できている。しかも、第1部分16aと第2部分16bを板状部上面側において断熱する断熱部16Aを設けたため、第1部分16aと第2部分16bに明確な温度差をつけることができた。
他方、図5の破線は、比較例に係るサセプタ表面の温度を示す。比較例のサセプタは、本発明の実施の形態1に係るサセプタとほぼ同じものであるが、断熱部を省略した点で本発明の実施の形態1に係るサセプタと異なる。比較例のサセプタの板状部には断熱部がないので、板状部の上面側において第1部分(中央部分)と第2部分(外縁部分)の間で熱が移動する。したがって、比較例のサセプタ表面における温度分布は、板状部の中央から外縁に向かってなだらかに温度が上昇するものとなる。つまり、板状部に明確な温度差をつけることができない。
実施の形態1に係る板状部16につけられた明確な温度差は、板状部16にのせられた基板70の温度に反映される。つまり、図4の基板70について、基板中央部70Aの温度は300℃となり、基板外縁部70Bの温度は305℃になる。このように、基板70を予め定められた温度とした上で、チャンバ12の内に材料ガスを供給し、基板70に対しプラズマ成膜する。
ところで、一般的な基板処理では、基板に成膜し、露光及び現像によりパターンを形成し、エッチングによって不要部分を除去する、という一連の処理を繰り返し実行する。理想的な基板処理は、面内ばらつきなく成膜し、面内ばらつきなくパターンを形成し、面内ばらつきなくエッチングするというものである。しかし、例えば、エッチング工程においてエッチング量の面内ばらつきが生じることがある。その場合、エッチング工程の条件を調整して当該面内ばらつきを抑制すべきであるが、そのような調整が不可能又は困難な場合がある。
そこで、エッチング量の面内ばらつきを吸収するために、成膜工程において形成する膜の膜質又は膜厚を意図的に不均一とすることが要請されることがある。例えば、エッチングにより基板の外縁側のエッチング量が多くなってしまう場合、成膜において基板外縁側に厚く成膜することがある。
本発明の実施の形態1に係るサセプタ及び基板処理装置は、上述の通り基板に明確な温度差をつけることができるので、膜の膜厚又は膜質を意図的に不均一とするのに好適なものである。したがって、成膜工程とは別の工程の面内ばらつきを吸収するために、任意の不均一性を有する膜を形成し、プロセス全体におけるばらつきを解消することができる。つまり、プロセス終了時の面内ばらつきを抑制できる。
成膜する膜の膜厚の分布及び膜質の分布は、成膜工程とは別の工程から要求される条件に応じて適宜設定する。例えば、基板外縁部に基板中央部よりも厚い(又は薄い)膜を形成したり、基板外縁部に形成される膜を基板中央部に形成される膜よりも硬く(又は軟らかく)したりする。
プラズマ成膜の場合、基板の中央部分で電界強度が高くなり、基板の外縁部分ではチャンバの寄与で電界強度が低くなる。また、プラズマ成膜では、一般的に、基板温度が高い部分に比べて基板温度の低い部分の膜厚が大きくなる。膜厚又は膜質の面内分布に寄与する要素を総合考慮して、基板に明確な温度差をつけることで、要請されたとおりの面内不均一性を有する膜を形成する。
本発明の実施の形態1に係るサセプタ15と基板処理装置10は様々な変形が可能である。膜のどの部分を硬く(又は軟らかく)するか、どの部分を厚く(又は薄く)するかは、成膜工程とは別の工程からの要請にしたがい適宜定めることである。また、本発明のサセプタと基板処理装置は、成膜装置として構成するだけでなく、エッチャーとして構成することもできる。成膜装置とエッチャーは真空中におけるプラズマプロセスである点で共通する。
板状部16において実現された温度分布を、基板70の温度分布と一致させるためには、基板70が板状部16に密着していることが好ましい。そのため、板状部16に静電チャックを設けて板状部16に基板70を密着させることが好ましい。なお、サセプタ15の方が基板70より熱容量が高いので、両者が接触することによるサセプタ15の温度変化は軽微である。
レシピには、第1部分16aと第2部分16bの目標温度を設定するのではなく、2つの部分の温度差を設定しても良い。例えば、レシピに第1部分16aの目標温度を設定し、第2部分16bの目標温度は第1部分16aの目標温度に予め定められた温度(例えば50℃)を加えた値(あるいは差し引きした値)としても良い。
断熱部16Aのパターンは、基板に要求される温度分布に応じて適宜変更することができる。実施の形態1で示した溝16A’の寸法等は例示であり、適宜変更できる。これらの変形は以下の実施の形態に係るサセプタと基板処理装置に適宜応用できる。なお、以下の実施の形態に係るサセプタと基板処理装置は、実施の形態1との共通点が多いので、実施の形態1との相違点を中心に説明する。
実施の形態2.
図6は、実施の形態2に係るサセプタの平面図である。板状部16の一部として第3部分16cが形成されている。第3部分16cは平面視で第2部分16bを囲む。第3部分16cには、第3部分16cを加熱する第3ヒータ80が埋め込まれている。第3ヒータ80は破線で示されている。第1ヒータ50、第2ヒータ52及び第3ヒータ80は同心円状に設けられている。
板状部16の上面側には外側断熱部16Bが設けられている。外側断熱部16Bは第2部分16bの側面と、第2部分16bの側面と離れた第3部分16cの側面と、これらの面をつなぐ底面によって構成されている。外側断熱部16Bは断熱層として機能する溝16B’を提供する。溝16B’により、板状部16の上面側において、第2部分16bと第3部分16cを断熱する。
本発明の実施の形態2に係るサセプタによれば、第1部分16a、第2部分16b及び第3部分16cの温度を独立に任意の温度にすることができる。よって、板状部を上面側において2つに分割し温度制御する実施の形態1のサセプタと比較して、基板の温度分布の自由度を高めることができる。
実施の形態3.
図7は、実施の形態3に係る基板処理装置の平面図である。チャンバ12については内部が見えるように側壁だけを示している。チャンバ12はサセプタを収容している。図7には板状部16が表されている。チャンバ12内を真空にするとともに、チャンバ12内に供給された材料ガスを排気するために、チャンバ12の側面にガス排気部40が取り付けられている。基板をチャンバ12に出し入れするために、チャンバ12の側面にゲートバルブ102が取り付けられている。ゲートバルブ102にはウエハハンドリングチャンバ104が接続されている。
サセプタの板状部16は、第1部分16d、第2部分16e、第3部分16f及び第4部分16gを備えている。第1〜第4部分16d、16e、16f、16gは、それぞれ、平面視で扇形である。第1〜第4部分16d、16e、16f、16gは板状部16の外縁を含む部分である。平面視で、ガス排気部40と第1部分16dが対向している。また、平面視で、ゲートバルブ102と第2部分16eが対向している。したがって、板状部16のうちガス排気部40に近い場所が第1部分16dであり、板状部16のうちゲートバルブ102に近い場所が第2部分16eである。
板状部16には溝部である断熱部16C、16Dが形成されている。断熱部16Cは、第1部分16dと第4部分16gの間、及び第2部分16eと第3部分16fの間に溝16C’を提供する。断熱部16Dは、第1部分16dと第3部分16fの間、及び第2部分16eと第4部分16gの間に溝16D’を提供する。断熱部16C,16Dにより、板状部16に十字型の溝が提供される。溝16C’、 16D’の幅及び深さは、特に限定されないが、実施の形態1で説明した溝と同等である。
第1部分16dには第1部分16dを加熱する第1ヒータ110が埋め込まれている。第2部分16eには第2部分16eを加熱する第2ヒータ112が埋め込まれている。第3部分16fには第3部分16fを加熱する第3ヒータ114が埋め込まれている。第4部分16gには第4部分16gを加熱する第4ヒータ116が埋め込まれている。第1〜第4ヒータ110、112、114、116はヒータコントローラによって、個別に制御される。したがって、ヒータコントローラの制御により、第1〜第4部分16d、16e、16f、16gを異なる温度とすることができる。断熱部16C、16Dにより、板状部16の上面側において、第1〜第4部分16d、16e、16f、16gが熱的に分離されている。したがって、基板に明確な温度差をつけることができる。
ところで、チャンバ12の内のガスを排気(真空引き)するときにはチャンバ12の内が均一に真空引きされる訳ではない。ガス排気部40に近い場所ではガス排気部40から遠い場所と比較して、圧力が低くなる。圧力が低いエリアではガスの滞在時間(1つの分子がプラズマ中に滞在する時間)が短くなる。よって、ガス排気部40に近い場所では、成膜装置においては成膜速度が遅くなり、エッチャーにおいてはエッチング速度が遅くなるケースが多い。
また、サセプタ15とチャンバ12は同電位(グランド)である。プラズマ生成時にはRF電極14から一番近い電極(サセプタ15の板状部16)に主要な放電が起こる。しかし、RF電極14から、チャンバ等の本来は電極として機能することを想定していない部分にも放電する。そのため、基板の面内で成膜条件が不均一になることを防止するためには、チャンバ12がRF電極14を囲み、RF電極14からチャンバ12への距離がどの方向についても等しいことが好ましい。しかし、実際には、ゲートバルブ102があるので、RF電極14からグランド電位の電極までの距離及びその電極形状は不均一となる。つまり、ゲートバルブ102の近傍におけるプラズマの広がり方は、ゲートバルブ102から遠い場所のプラズマの広がり方とは異なる。
このように、ガス排気部40に近いエリアにおける成膜条件とガス排気部40から遠いエリアにおける成膜条件は異なり、ゲートバルブ102に近いエリアにおける成膜条件とゲートバルブ102から遠いエリアにおける成膜条件も異なる。つまり、基板の中央からの距離が同じ2点間でも、その点のガス排気部40からの距離及びゲートバルブ102からの距離に応じて、成膜条件が変わる。
そこで、本発明の実施の形態3に係るサセプタでは、ガス排気部40に対向する部分である第1部分16dを独立して温度制御できるようにした。これにより、第1部分16dにおける成膜条件の特殊性を考慮して第1部分16dの温度を設定し、第1部分16dの上の基板に形成される膜の膜厚及び膜質を制御できる。
さらに、ゲートバルブ102に対向する部分である第2部分16eを独立して温度制御できるようにした。これにより第2部分16eにおける成膜条件の特殊性を考慮して第2部分16eの温度を設定し、第2部分16eの上の基板に形成される膜の膜厚及び膜質を制御できる。
ゲートバルブ102の存在により成膜品質に与えられる影響が小さい場合は、ガス排気部40と第1部分16dを対向させれば足り、第2部分16eをゲートバルブ102に対向させなくてもよい。また、ガス排気部40の存在により成膜品質に与えられる影響が小さい場合は、ゲートバルブ102と第2部分16eを対向させれば足り、第1部分16dをガス排気部40に対向させなくてもよい。ゲートバルブ102とガス排気部40の位置は対称配置としなくてもよい。また、断熱部により、板状部16を3又は5以上の部分に分割してもよい。
実施の形態4.
図8は、実施の形態4に係る基板処理装置の平面図である。第1部分16a、第2部分16b、断熱部16A及び外側断熱部16Bについては、実施の形態2(図6)の板状部16と同様である。本発明の実施の形態4では、実施の形態2における第3部分16cを4つに分割した。つまり、図8に示すように、板状部16の一部として4つの第3部分16h、16i、16j、16kを設けた。4つの第3部分16h、16i、16j、16kは、全体として平面視で第2部分16bを囲む。第3部分16hはガス排気部40に対向している。第3部分16jはゲートバルブ102に対向している。
第3部分16hには第3部分16hを加熱する第3ヒータ124が埋め込まれている。第3部分16iには第3部分16iを加熱する第3ヒータ126が埋め込まれている。第3部分16jには第3部分16jを加熱する第3ヒータ128が埋め込まれている。第3部分16kには第3部分16kを加熱する第3ヒータ130が埋め込まれている。このように、複数の第3部分に1つずつ第3ヒータが設けられている。4つの第3ヒータ124、126、128、130は、ヒータコントローラによって個別に制御される。したがって、4つの第3部分16h、16i、16j、16kは、ヒータコントローラの制御により異なる温度とすることができる。
板状部には溝部である外側断熱部16Bが形成されている。外側断熱部16Bは、板状部の上面側において、第2部分16bと複数の第3部分16h、16i、16j、16kを断熱する。さらに、板状部には溝部である外縁側断熱部16G、16H、16I、16Jが形成されている。外縁側断熱部16G、16H、16I、16Jは、板状部の上面側において、複数の第3部分間を断熱する。断熱部16A、外側断熱部16B及び外縁側断熱部16G、16H、16I、16Jにより、板状部の上面側において、第1部分16a、第2部分16b、複数の第3部分16h、16i、16j、16kが熱的に分離されている。したがって、基板に明確な温度差をつけることができる。
ガス排気部40の存在による成膜条件への影響は、ガス排気部40に近い基板のエッジ部分で特に顕著であり、基板の中央部分では軽微である場合がある。そこで、本発明の実施の形態4では、板状部の外縁部分においてガス排気部40と対向する第3部分16hを独立して温度制御できるようにした。これにより、第3部分16hにおける成膜条件の特殊性を考慮して第3部分16hの温度を設定し、第3部分16hの上の基板に形成される膜の膜厚及び膜質を制御できる。
また、ゲートバルブ102の存在による成膜条件への影響は、ゲートバルブ102に近い基板のエッジ部分で特に顕著であり、基板の中央部分では軽微である場合がある。そこで、本発明の実施の形態4では、板状部の外縁部分においてゲートバルブ102と対向する第3部分16jを独立して温度制御できるようにした。これにより、第3部分16jにおける成膜条件の特殊性を考慮して第3部分16jの温度を設定し、第3部分16jの上の基板に形成される膜の膜厚及び膜質を制御できる。
また、第1ヒータ50と、第2ヒータ52と、複数の第3ヒータ124、126、128、130が同心円状に設けられているので、実施の形態2のサセプタと同様に、板状部の中央からの距離に依存した成膜条件の違い(センターエッジの関係)を考慮した温度設定が可能である。つまり、本発明の実施の形態4に係るサセプタ及び基板処理装置によれば、センターエッジの関係、ガス排気部40の存在による影響、及びゲートバルブ102の存在による影響を補正しつつ、任意の膜厚分布及び膜質分布を実現できる。
第3部分は4つに限らない。第3部分は複数設ければよい。溝部の数を増やしたり、溝部の形状を任意に変形させたりすることで独立して温度制御できる部分の数を増加させれば、複雑な膜厚分布又は膜質分布を実現できる。
実施の形態5.
図9は、実施の形態5に係るサセプタ等の断面図である。このサセプタは、実施の形態2(図6)のサセプタと類似している。しかし、断熱部16A及び外側断熱部16Bにより形成される溝16A’、16B’の幅が実施の形態2より大きい。そして、第1部分16aの上に第1閉塞部160が設けられている。第1閉塞部160は、第2部分16bと接触せず、溝部の溝16A’の一部を塞ぐ。第1閉塞部160を平面視したときの形状(平面形状)は円形である。第2部分16bの上に第2閉塞部162が設けられている。第2閉塞部162は第1部分16a及び第1閉塞部160と接触せず、溝部の溝16A’の一部、及び溝16B’の一部を塞ぐ。第2閉塞部162の平面形状は第1閉塞部160を囲む環状となっている。
第3部分16cの上に第3閉塞部164が設けられている。第3閉塞部164は第2部分16b及び第2閉塞部162と接触せず、溝16B’の一部を塞ぐ。第3閉塞部164の平面形状は第2閉塞部162を囲む環状となっている。処理対象となる基板70は、第1閉塞部160、第2閉塞部162及び第3閉塞部164の上にのせられる。第1〜第3閉塞部160、162、164の材料はプラズマ放電を大きく妨げない材料であれば特に限定されないが、セラミック又はAl等とすることができる。
溝16A’の幅(x3)と溝16B’の幅(x4)を大きくすることで、第1部分16aと第2部分16bの断熱性、及び第2部分16bと第3部分16cの断熱性を高めることができる。しかし、仮に、溝16A’の幅(x3)と溝16B’の幅(x4)を大きくした板状部の上に直接基板をのせて基板を加熱すると、溝16A’、 16B’の直上において基板温度が十分上がらない。つまり、意図しない温度ばらつきが生じる。
これを防止するために、第1〜第3閉塞部160、162、164を設けた。第1閉塞部160と第2閉塞部162は溝16A’の一部を塞ぐので、第1閉塞部160と第2閉塞部162の間隔(x1)は溝16A’の幅(x3)より小さい。また、第2閉塞部162と第3閉塞部164は溝16B’の一部を塞ぐので、第2閉塞部162と第3閉塞部164の間隔(x2)は溝16B’の幅(x4)より小さい。したがって、板状部16の上に直接基板をのせる場合と比較して、意図しない温度ばらつきを抑制できる。
板状部に形成される溝の数に応じて、閉塞部の数も変化させることが好ましい。例えば、板状部に溝16A’だけが形成される場合は、第3閉塞部164を省略する。
実施の形態6.
図10は、実施の形態6に係るサセプタの断面図である。第1部分16a、第2部分16b、及び第3部分16cは別部品である。言い換えれば、第3部分16cは第2部分16bから取り外すことができ、第2部分16bは第1部分16aから取り外すことができる。第2部分16bと第3部分16cは平面視で環状の部品である。第1部分16aの側面には凸部160が設けられ、第2部分16bはこの凸部160の上にのせられている。第2部分16bの側面には凸部162が設けられ、第3部分16cはこの凸部162の上にのせられている。
溝部(断熱部16A)は、第1部分16aの側面と、凸部160の上面と、第2部分16bの側面で構成される。外側断熱部16Bは、第2部分16bの側面と、凸部162の上面と、第3部分16cの側面で構成される。
図10から明らかなように、凸部160を第1部分16aの側面下端に設け、かつ凸部160の厚みを小さくすることで、深い溝16A’を設けることができる。凸部162を第2部分16bの側面下端に設け、かつ凸部162の厚みを小さくすることで深い溝16B’を設けることができる。実施の形態1のサセプタにおいて溝を深くするとサセプタの強度低下が懸念される。しかし、本発明の実施の形態6に係るサセプタは組み立て式であるので、溝を深くしつつ、強度を確保できる。
実施の形態7.
図11は、実施の形態7に係るサセプタ等の断面図である。このサセプタは、板状部16に取り付けられた冷却部材200と、滑動シャフト18に取り付けられた冷却部材202を備える。冷却部材200、202は、周知の冷却方法であれば特に限定されない。冷却部材200は、板状部16の溝部(断熱部16Aと外側断熱部16B)の直下に取り付けられている。冷却部材200、202による冷却の程度は、ヒータコントローラにより制御される。
例えば、1kWでプラズマをたてるとRFのエネルギによりある程度サセプタの温度が上がる。この温度上昇が低温プロセスの実現を困難にすることがある。そこで、本発明の実施の形態7に係るサセプタは、冷却部材200、202でサセプタを冷却し、サセプタの温度上昇を抑制する。これにより低温プロセスが実現可能となる。
板状部の上面側では、第1部分16a、第2部分16b及び第3部分16cが熱的に分離されている。しかし、板状部の下面側では、これらが熱的に分離されていない。そのため、第1〜第3部分16a、16b、16c間での熱の移動は主として、板状部の下面側で起こる。本発明の実施の形態7に係るサセプタは、板状部16の下面側に冷却部材200を設けることで、この熱移動を抑制するものである。具体的には、冷却部材200を溝部(断熱部16Aと外側断熱部16B)の直下に設けることで、第1部分16aと第2部分16bの間の熱移動、及び第2部分16bと第3部分16cの間の熱移動を抑制できる。冷却部材200を板状部16に埋め込み、冷却部材202を滑動シャフト18に埋め込んでも良い。
実施の形態8.
図12は、実施の形態8に係るサセプタの断面図である。実施の形態1−7では、板状部の第1部分と第2部分を断熱する断熱部として、板状部の上面側に溝部を設けた。しかし、実施の形態8における断熱部は、板状部16の中に設けられた冷却装置210である。冷却装置210による冷却の程度はヒータコントローラにより制御される。冷却装置210を稼動させるとともに、第1ヒータ50と第2ヒータ52を稼動させる。そうすると、冷却装置210の冷却により、第1部分16aと第2部分16bが断熱される。特に、板状部16の上面側において、第1部分16aと第2部分16bを断熱することが好ましい。第1部分16aと第2部分16bを断熱することで、溝を設けることなく、基板に明確な温度差をつけることができる。なお、ここまでで説明した各実施の形態は適宜に組み合わせて用いてもよい。
実施の形態9.
図13は、実施の形態9に係るサセプタの断面図である。第1部分16aと第2部分16bを断熱する断熱部として、板状部16の上面側に設けられた溝部16Aと、板状部16のうち溝部16Aの溝16’の直下の部分を冷却する冷却装置90が設けられている。冷却装置90は2系統の冷媒路91、92を有している。冷媒路91、92には別々の冷媒が流れる。冷媒の温度は特に限定されないが例えば20℃程度である。
冷媒路91は、略垂直方向に伸びる垂直冷媒路91a、垂直冷媒路91aにつながる第1冷媒路91b、及び第1冷媒路91bにつながる第2冷媒路91cを有している。垂直冷媒路91aは滑動シャフト18と第1部分16aに略垂直方向に冷媒が流れる冷媒路を提供する。第1冷媒路91bは第1部分16aを通っている。第2冷媒路91cは板状部16のうち溝16A’の直下部分を通っている。
冷媒路92は、略垂直方向に伸びる垂直冷媒路92a、垂直冷媒路92aにつながる第1冷媒路92b、及び第1冷媒路92bにつながる第2冷媒路92cを有している。垂直冷媒路92aは滑動シャフト18と第1部分16aに略垂直方向に冷媒が流れる冷媒路を提供する。第1冷媒路92bは第1部分16aを通っている。第2冷媒路92cは板状部16のうち溝16A’の直下部分を通っている。
垂直冷媒路91a、92a及び第1冷媒路91b、92bにおける黒い太線は、冷媒が通るパイプを示す。冷媒が板状部16及び滑動シャフト18に接しないように、このパイプは、空洞94で囲まれている。空洞94は、冷媒と、板状部16及び滑動シャフト18との間の熱伝達を妨げる。したがって、垂直冷媒路91a、92a及び第1冷媒路91b、92bでは、冷媒が板状部16と滑動シャフト18に接して冷媒の温度が上がることはない。冷媒と、板状部16及び滑動シャフト18との間の熱伝達を妨げる熱伝達抑制部として、空洞94以外の構成を採用してもよい。
これに対し、第2冷媒路91c、92cでは、冷媒と板状部16が接する。したがって、冷媒によって、板状部16の溝16A’の直下の部分が冷却される。
図14は、図13のサセプタ15の平面図である。説明の便宜上、冷媒路91、92を破線で示している。図14を参照しつつ冷媒の流れを説明する。図14における矢印は冷媒の流れ方向を示している。まず、垂直冷媒路91aを通った冷媒が第1冷媒路91bに達する。そして、冷媒は、第1冷媒路91bを通ることで第1部分16aの中央部から溝部16Aの直下に進み、第2冷媒路91cに達する。なお、垂直冷媒路91aと第1冷媒路91bでは、冷媒とサセプタ15が接しないので、冷媒はほとんど温度上昇しない。
第2冷媒路91cの入り口に達した冷媒は、溝16A’の下を半円を描くように進み、第3冷媒路91dに達する。第3冷媒路91dは、溝部16Aの直下から第1部分16aの中央に伸びる流路である。第3冷媒路91dを進んだ冷媒は垂直冷媒路91eに達する。垂直冷媒路91eは、垂直冷媒路91aと同様、板状部16と滑動シャフト18に垂直方向の流路を提供するものである。冷媒は垂直冷媒路91eを下方に流れ、外部に排出される。なお、第3冷媒路91dと垂直冷媒路91eには、垂直冷媒路91a及び第1冷媒路91bと同様に、冷媒と、板状部16及び滑動シャフト18との間の熱伝達を妨げる熱伝達抑制部が設けられているので、冷媒が第3冷媒路91dと垂直冷媒路91eを通ることによる冷媒の温度上昇はほとんどない。
このように、冷媒路91は、平面視で環状に設けられた溝部16Aの直下を、半円に渡って冷却するために提供される。
冷媒路91とは別系統の冷媒路92を流れる冷媒について説明する。まず、垂直冷媒路92aを通った冷媒が第1冷媒路92bに達する。そして、冷媒は、第1冷媒路92bを通ることで第1部分16aの中央部から溝部16Aの直下に進み、第2冷媒路92cに達する。なお、垂直冷媒路92aと第1冷媒路92bでは、冷媒とサセプタ15が接しないので、冷媒はほとんど温度上昇しない。
第2冷媒路92cの入り口に達した冷媒は、溝16A’の下を半円を描くように進み、第3冷媒路92dに達する。第3冷媒路92dは、溝部16Aの直下から第1部分16aの中央に伸びる流路である。第3冷媒路92dを進んだ冷媒は垂直冷媒路92eに達する。垂直冷媒路92eは、垂直冷媒路92aと同様、板状部16と滑動シャフト18に略垂直方向の流路を提供するものである。冷媒は垂直冷媒路92eを下方に流れ、外部に排出される。なお、第3冷媒路92dと垂直冷媒路92eには、垂直冷媒路92a及び第1冷媒路92bと同様に、冷媒と、板状部16及び滑動シャフト18との間の熱伝達を妨げる熱伝達抑制部が設けられているので、冷媒が第3冷媒路92dと垂直冷媒路92eを通ることによる冷媒の温度上昇はほとんどない。
このように、冷媒路92は、平面視で環状に設けられた溝部16Aの直下を、半円に渡って冷却するために提供される。
ところで、第1冷媒路91b、92bから第2冷媒路91c、92cに冷媒が入り込む位置である「流入部」における冷媒温度は、第2冷媒路91c、92cから第3冷媒路91d、92dに冷媒が流れ込む位置である「流出部」の冷媒温度より低い。そのため、2つの流入部が近接すると、溝部16Aの直下の部分を均一に冷却できない。そこで、本発明の実施の形態9では2つの流入部を離した。具体的には、2つの流入部を結ぶ線が第1部分16aの中心を通るように、2つの流入部を設けた。第2冷媒路91cの冷媒を時計回りに流すのであれば第2冷媒路92cの冷媒も時計回りに流す。第2冷媒路91cの冷媒を反時計回りに流すのであれば第2冷媒路92cの冷媒も反時計回りに流す。つまり、第2冷媒路91cと第2冷媒路92cの冷媒流れ方向を一致させた。
本発明の実施の形態9に係るサセプタによれば、板状部16の溝16A’の直下部分を冷却する冷却装置90を設けたので、当該直下部分を介した第1部分16a、第2部分16b間の熱伝導を抑制できる。よって、実施の形態1よりもさらに基板に明確な温度差をつけることができる。
図15は、本発明の実施の形態9に係るサセプタ15の表面温度分布を示す画像である。実線の矢印と破線の矢印は冷媒の流れ方向を表す。この画像から、第1部分16aと第2部分16bで明確な温度差をつけることができていることが分かる。
図16は、冷却装置の有無によるサセプタの温度分布の違いを示す図である。「冷却装置なし」と記載された2つの画像は、図13の構成から冷却装置を除去した比較例のサセプタの表面温度分布を示す図である。「冷却装置あり」と記載された2つの画像は、本発明の実施の形態9に係るサセプタの表面温度分布を示す図である。流量0.4L/minの水を冷媒として、サセプタを冷却した。4つの画像のすべての場合において、設定温度は75~100℃であり、中央の部分と外側の部分の温度設定を最大にした。
4つの画像から分かるように、溝部を境に内外のゾーンで明確な温度の差をつけることが出来る。「冷却装置なし」と記載された2つの画像では中央の部分と外側の部分の温度差が高々1.5℃程度であるのに対し、水冷を行って得られた2つの画像では内側の部分と外側の部分の温度差を7℃程度まで拡大できた。したがって、冷却装置90により、溝16A’の下の部分における熱の移動が効果的に抑制できることが分かる。このように、本発明の実施の形態9によれば、放熱が少なく温度差をつけにくい75~100℃程度の低温領域にて、ゾーン間に明確な温度差をつけることができる。
次に、図17−図22を参照して、実施の形態9に係るサセプタによれば低温プロセスが可能となることを説明する。図17は、溝部のみを有し冷却装置90がないサセプタについての、プラズマ工程における温度変化を示す図である。Heater powerはヒータのパワーを表し、Heater tempはサセプタの温度を表す。プラズマを伴う工程においては、プラズマの影響でサセプタの温度が上がりやすい。図17には、時刻t1においてヒータをオフしたにもかかわらず、プラズマの影響によりサセプタ温度が上がり続けることが示されている。このようにサセプタの温度が上がってしまうと、基板を低温で処理する低温プロセスができなくなる。
図18は、本発明の実施の形態9に係るサセプタについての、プラズマ工程における温度変化を示す図である。冷却装置90によりサセプタ15が冷却されるので、ヒータに一定の電流を流し続けても、ヒータの温度を略一定に保つことができる。したがって、プラズマによってサセプタ温度が上がり続けることはない。よって、低温プロセスが可能である。
図19は、サセプタの目標温度を80℃に設定したときの、プラズマ工程におけるサセプタの温度推移を示す図である。破線は冷却装置90を使用しない場合の温度推移を示し、実線は冷却装置90を使用した場合の温度推移を示す。冷却装置90を設けることで、目標の80℃を維持できることが分かる。
図20は、サセプタの目標温度を30度に設定したときの、プラズマ工程におけるサセプタの温度推移を示す図である。破線は冷却装置90を使用しない場合の温度推移を示し、実線は冷却装置90を使用した場合の温度推移を示す。冷却装置90がない場合は、サセプタの温度を30℃にすることができない。冷却装置90を設けることで、目標の30℃を維持できることが分かる。なお、図19、20のデータは、ヒータをオフにした状態で得られたものである。
このように、冷却装置90を用いることで、基板温度を例えば80℃又は30℃程度の低温にして、プラズマ工程を行うことができる。プラズマ工程における基板温度は膜成長速度又はエッチング速度に大きな影響を及ぼす。図21は、サセプタの温度を変化させることで、成長レート(Growth Rate Per Cycle)を変化させることができることを示す図である。図22は、サセプタの温度を変化させることでエッチングレートを変化させることができることを示す図である。本発明の実施の形態9に係るサセプタ15を利用して基板温度を変更することで、所望の膜成長又はエッチング速度を実現できる。
本発明の実施の形態9のサセプタは、その特徴を失わない範囲で様々な変形が可能である。例えば、溝部16Aの数及び形状、並びに冷媒路91、92の形状を、プロセスの要求等に応じて、適宜変更することができる。実施の形態9では、第1冷媒路と第2冷媒路を有する冷媒路を2つ設けたが、3つ以上の独立した冷媒路を設けてもよい。その場合、溝部16Aの下の部分を略均等に冷やすために、第1冷媒路から第2冷媒路に冷媒が入り込む位置は、溝部16Aに沿って略等間隔に設けることが好ましい。
実施の形態10.
図23は、実施の形態10に係るサセプタの断面図である。実施の形態9では、冷却装置90の第2冷媒路91c、92cを溝16A’の直下の板状部16に埋め込んだ。これに対し、実施の形態10では、冷却装置の第2冷媒路95cは、板状部16のうち、溝16A’の直下を挟む位置に埋め込まれている。垂直冷媒路95aから第1冷媒路95bに進んだ冷媒は、第2冷媒路95cにより板状部16の中を2周する。
図24は、本発明の実施の形態10に係るサセプタの表面温度分布を示す画像である。図24における矢印は冷媒の流れ方向を示している。まず、垂直冷媒路95aを通った冷媒が第1冷媒路95bに達する。そして、冷媒は、第1冷媒路95bを通ることで第1部分16aの中央部から第2冷媒路95cに達する。第1冷媒路はINと書かれた破線矢印で示されている。第2冷媒路95cは、平面視で溝の下の部分を2周する。その後、冷媒は、第3冷媒路によって第1部分の中央部に導かれ、垂直冷媒路に至り、外部に排出される。第3冷媒路はOUTと書かれた破線矢印で示されている。なお、2つの垂直冷媒路と第1冷媒路と第3冷媒路では、熱伝達抑制部により冷媒とサセプタが接しないので、冷媒はほとんど温度上昇しない。
実施の形態10の第2冷媒路は、溝に沿って2周分設けられるので、実施の形態9の冷却装置に比べて冷媒路が複雑になるが、溝16A’の直下の板状部16における熱伝導を抑制する効果を高めることができる。
実施の形態11.
図25は、実施の形態11に係るサセプタの断面図である。板状部16の溝16A’の下の部分を冷却する2周分設けられた第2冷媒路96cと、板状部16の溝16B’の下の部分を冷却する2周分設けられた第2冷媒路96cとが設けられている。
第1部分16a、第2部分16b、第3部分16cの上には、上下面を絶縁膜に挟まれた薄膜発熱体100が設けられている。薄膜発熱体100は、薄い絶縁物の上にタングステンなどの薄膜発熱体をプリントし、その上に薄い絶縁物を設けることで作成した。薄膜発熱体100は、形状の自由度が高く、しかも交換しやすいものである。よって、使用者は柔軟にサセプタの温度を設定できる。
実施の形態12.
図26は、実施の形態12に係るサセプタの平面図である。断熱部190は、第1部分16aと第2部分16bの間に設けられている。断熱部190は、第1部分16a及び第2部分16bよりも熱伝導率の低い部分である。
図27は、図26のサセプタの27−27線に沿った断面図である。断熱部190の上面、第1部分16aの上面、及び第2部分16bの上面は、1つの平坦な面を形成している。また、断熱部190があるので第1部分16aと第2部分16bは接しない。
図28は、断熱部190の拡大図である。断熱部190の材料として、第1部分16a及び第2部分16bよりも熱伝導率が低い材料を採用する。第1部分16aと第2部分16bの材料がアルミの場合、断熱部190の材料は例えばステンレススチールかチタンである。第1部分16aと第2部分16bの材料が窒化アルミの場合、断熱部190の材料は例えば石英である。断熱部190として、酸化アルミを採用してもよい。
断熱部190と、第1部分16a及び第2部分16bの熱膨張係数は可能な限り近い値とすることが好ましい。また、板状部16の強度を確保するために、高い硬度を有する材料で断熱部190を形成することが好ましい。
断熱部190は、板状部16の上面から下面まで及ぶので、第1部分16aと第2部分16bは物理的に分離している。断熱部190は、第1部分16aと第2部分16bの間の熱伝導を抑制するサーマルバリアとして機能する。また、板状部16に溝部を形成しないので、溝部がある場合と比べて板状部16の機械的強度を高めることができる。
また、板状部に溝部を設けると溝の直上において基板温度が最低になることが予想される。しかし、断熱部190は第1部分16aと第2部分16bの間の温度になるので、断熱部190の直上の基板温度が低下する問題も防止できる。
図29は、変形例に係るサセプタの一部断面図である。断熱部192は第1部分16a及び第2部分16bと同じ材料である。断熱部192には複数の空隙192aが設けられている。空隙192aを設けることで、断熱部192は第1部分16a及び第2部分16bよりも熱伝導率が低くなっている。
例えば窒化アルミを高温で加熱することで、窒化アルミの一部を蒸発及び凝縮させ固体にすれば、制御された空隙192aを形成することができる。サセプタをセラミックで形成する場合は、異なる層の形成前に、予め制御された空隙192aを形成する。いずれにしても、空隙192aは、断熱部192に形成されたガスバブルである。
図30は、他の変形例に係るサセプタの一部断面図である。断熱部194は第1部分16a及び第2部分16bと同じ材料である。断熱部194には複数の空隙194aが設けられている。空隙194aは、機械加工で形成される。具体的には、複数の材料に溝を形成しておき、当該複数の材料を積み重ねて板状部を形成することで空隙194aを設ける。複数の材料は、例えばブレージング又はシンター熱処理により1つに結合させる。なお、ここまでで説明した各実施の形態は適宜に組み合わせて用いてもよい。
10 基板処理装置、 12 チャンバ、 14 RF電極、 15 サセプタ、 16 板状部、 16a 第1部分、 16A 断熱部、 16A’ 溝、 16b 第2部分、 16B 外側断熱部、 16B’ 溝、 16G,16H,16I,16J 外縁側断熱部、 16h,16i,16j,16k 第3部分、 18 滑動シャフト、 40 ガス排気部、 50 第1ヒータ、 52 第2ヒータ、 80 第3ヒータ、 102 ゲートバルブ、 104 ウエハハンドリングチャンバ、 160 第1閉塞部、 162 第2閉塞部、 164 第3閉塞部、 200,202 冷却部材、 210 冷却装置

Claims (16)

  1. 板状部と、
    前記板状部の第1部分を加熱する第1ヒータと、
    前記板状部の第2部分を加熱する第2ヒータと、
    前記板状部の上面側において、前記第1部分と前記第2部分を断熱する、前記板状部の前記上面側に設けられた溝部である断熱部と、
    前記第1部分の上に設けられ、前記第2部分と接触せず、前記溝部の溝の一部を塞ぐ、第1閉塞部と、
    前記第2部分の上に設けられ、前記第1部分及び前記第1閉塞部と接触せず、前記溝部の溝の一部を塞ぐ、第2閉塞部と、を備えたことを特徴とするサセプタ。
  2. 板状部と、
    前記板状部の第1部分を加熱する第1ヒータと、
    前記板状部の第2部分を加熱する第2ヒータと、
    前記板状部の上面側において、前記第1部分と前記第2部分を断熱する、前記板状部の前記上面側に設けられた溝部である断熱部と、を備え、
    前記第1部分と前記第2部分は別部品であり、
    前記第1部分の側面には凸部が設けられ、前記第2部分は、前記凸部の上にのせられ、
    前記溝部は、前記第1部分の側面と、前記凸部の上面と、前記第2部分の側面で構成されることを特徴とするサセプタ。
  3. 前記第2部分は平面視で前記第1部分を囲むことを特徴とする請求項1又は2に記載のサセプタ。
  4. 前記板状部の一部として形成された、平面視で前記第2部分を囲む第3部分と、
    前記第3部分を加熱する第3ヒータと、
    前記板状部の前記上面側において、前記第2部分と前記第3部分を断熱する外側断熱部と、を備えたことを特徴とする請求項3に記載のサセプタ。
  5. 前記板状部の一部として形成された、全体として平面視で前記第2部分を囲む複数の第3部分と、
    前記複数の第3部分に1つずつ設けられた複数の第3ヒータと、
    前記板状部の前記上面側において、前記第2部分と前記複数の第3部分を断熱する外側断熱部と、
    前記板状部の前記上面側において、前記複数の第3部分間を断熱する外縁側断熱部と、を備えたことを特徴とする請求項3に記載のサセプタ。
  6. 前記板状部に取り付けられた冷却部材を備えたことを特徴とする請求項1〜5のいずれか1項に記載のサセプタ。
  7. 前記板状部に取り付けられた冷却部材を備え、
    前記冷却部材は、前記板状部の前記溝部の直下に取り付けられたことを特徴とする請求項1又は2に記載のサセプタ。
  8. 静電チャックを備えたことを特徴とする請求項1〜7のいずれか1項に記載のサセプタ。
  9. 板状部と、
    前記板状部の第1部分を加熱する第1ヒータと、
    前記板状部の第2部分を加熱する第2ヒータと、
    前記板状部の上面側において、前記第1部分と前記第2部分を断熱する断熱部と、を備え、
    前記断熱部は、前記板状部の中に設けられた冷却装置であることを特徴とするサセプタ。
  10. 板状部と、
    前記板状部の第1部分を加熱する第1ヒータと、
    前記板状部の第2部分を加熱する第2ヒータと、
    前記板状部の上面側において、前記第1部分と前記第2部分を断熱する断熱部と、を備え
    前記断熱部は、前記板状部の前記上面側に設けられた溝部と、前記板状部のうち前記溝部の溝の直下の部分を冷却する冷却装置と、を備えたことを特徴とするサセプタ。
  11. 前記冷却装置は、前記溝の直下の前記板状部に埋め込まれたことを特徴とする請求項10に記載のサセプタ。
  12. 前記冷却装置は、前記板状部のうち、前記溝の直下を挟む位置に埋め込まれたことを特徴とする請求項10に記載のサセプタ。
  13. 前記冷却装置は冷媒が流れる冷媒路を有し、
    前記冷媒路は、前記第1部分を通る第1冷媒路と、前記第1冷媒路につながり前記板状部のうち前記溝の直下部分を通る第2冷媒路と、を有し、
    前記第1冷媒路には、前記冷媒と前記板状部の間の熱伝達を妨げる熱伝達抑制部が設けられ、
    前記第2冷媒路では、前記冷媒と前記板状部が接することを特徴とする請求項10に記載のサセプタ。
  14. 前記溝部は平面視で環状に設けられ、
    前記第1冷媒路と前記第2冷媒路を少なくとも2組有し、
    前記第1冷媒路から前記第2冷媒路に冷媒が入り込む位置は、前記溝部に沿って略等間隔に設けられたことを特徴とする請求項13に記載のサセプタ。
  15. 板状部と、前記板状部の第1部分を加熱する第1ヒータと、前記板状部の第2部分を加熱する第2ヒータと、前記板状部の上面側において、前記第1部分と前記第2部分を断熱する断熱部と、を有したサセプタと、
    前記サセプタを収容するチャンバと、
    前記チャンバの側面に取り付けられたガス排気部と、を備え、
    前記第1部分は、前記板状部の外縁を含む部分であり、
    前記第2部分は、前記板状部の外縁を含む部分であり、
    平面視で、前記サセプタのうち前記第1部分だけが前記ガス排気部と対向することを特徴とする基板処理装置。
  16. 板状部と、前記板状部の第1部分を加熱する第1ヒータと、前記板状部の第2部分を加熱する第2ヒータと、前記板状部の上面側において、前記第1部分と前記第2部分を断熱する断熱部と、を有したサセプタと、
    前記サセプタを収容するチャンバと、
    前記チャンバの側面に取り付けられたゲートバルブと、を備え、
    前記第1部分は、前記板状部の外縁を含む部分であり、
    前記第2部分は、前記板状部の外縁を含む部分であり、
    平面視で、前記サセプタのうち前記第2部分だけが前記ゲートバルブと対向することを特徴とする基板処理装置。
JP2016137240A 2015-08-17 2016-07-12 サセプタ、基板処理装置 Active JP6758112B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/828,304 US20170051402A1 (en) 2015-08-17 2015-08-17 Susceptor and substrate processing apparatus
US14/828,304 2015-08-17
US15/203,433 2016-07-06
US15/203,433 US20170051406A1 (en) 2015-08-17 2016-07-06 Susceptor and substrate processing apparatus

Publications (2)

Publication Number Publication Date
JP2017041628A JP2017041628A (ja) 2017-02-23
JP6758112B2 true JP6758112B2 (ja) 2020-09-23

Family

ID=58157803

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016137240A Active JP6758112B2 (ja) 2015-08-17 2016-07-12 サセプタ、基板処理装置

Country Status (5)

Country Link
US (2) US20170051402A1 (ja)
JP (1) JP6758112B2 (ja)
KR (1) KR102617065B1 (ja)
CN (2) CN113555270A (ja)
TW (1) TWI725979B (ja)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10266414B2 (en) * 2015-06-16 2019-04-23 Hemlock Semiconductor Operations Llc Susceptor arrangement for a reactor and method of heating a process gas for a reactor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
TWI754041B (zh) * 2017-04-18 2022-02-01 日商東京威力科創股份有限公司 被處理體之處理方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
KR102406644B1 (ko) * 2017-05-10 2022-06-08 세메스 주식회사 통합 플레이트 및 이를 포함하는 기판 처리 장치
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) * 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10415899B2 (en) 2017-12-28 2019-09-17 Asm Ip Holding B.V. Cooling system, substrate processing system and flow rate adjusting method for cooling medium
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7088732B2 (ja) * 2018-04-27 2022-06-21 株式会社堀場エステック 基板処理装置及び基板処理装置用プログラム
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108777252A (zh) * 2018-05-29 2018-11-09 上海科发电子产品有限公司 一种用于混合集成电路外壳的烧结模具
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
KR20200008246A (ko) * 2018-07-16 2020-01-28 삼성전자주식회사 기판 본딩용 진공척, 이를 포함하는 기판 본딩 장치 및 이를 이용한 기판 본딩 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN111199902B (zh) * 2018-11-19 2023-02-24 拓荆科技股份有限公司 热隔离之晶圆支撑装置及其制造方法
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111627806A (zh) 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
JP7422557B2 (ja) * 2019-02-28 2024-01-26 東京エレクトロン株式会社 基板処理方法および基板処理装置
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP7285692B2 (ja) * 2019-05-17 2023-06-02 東京エレクトロン株式会社 乾燥装置、基板処理システム、および乾燥方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) * 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7330078B2 (ja) * 2019-11-25 2023-08-21 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
JP2021125517A (ja) * 2020-02-04 2021-08-30 日本碍子株式会社 セラミックヒータ
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2022047847A (ja) * 2020-09-14 2022-03-25 株式会社Kelk ウェーハの温度調節装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2024051768A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ
JP2024051933A (ja) * 2022-09-30 2024-04-11 日本発條株式会社 ステージ

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2565156Y2 (ja) * 1991-12-28 1998-03-11 国際電気株式会社 半導体製造装置及びそのウェーハ置台
JP3446772B2 (ja) * 1993-06-29 2003-09-16 東京エレクトロン株式会社 載置台および減圧処理装置
US5881208A (en) * 1995-12-20 1999-03-09 Sematech, Inc. Heater and temperature sensor array for rapid thermal processing thermal core
JP2002158178A (ja) * 2000-11-21 2002-05-31 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6656838B2 (en) * 2001-03-16 2003-12-02 Hitachi, Ltd. Process for producing semiconductor and apparatus for production
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
JP2007067394A (ja) * 2005-08-05 2007-03-15 Tokyo Electron Ltd 基板処理装置およびそれに用いる基板載置台
US20100162956A1 (en) * 2005-08-05 2010-07-01 Seishi Murakami Substrate Processing Apparatus and Substrate Mount Table Used in the Apparatus
KR100885467B1 (ko) * 2008-11-04 2009-02-24 주식회사 아토 반도체 소자의 배선 형성 방법
US8507352B2 (en) * 2008-12-10 2013-08-13 Denso Corporation Method of manufacturing semiconductor device including insulated gate bipolar transistor and diode
CN102341902A (zh) * 2009-03-03 2012-02-01 东京毅力科创株式会社 载置台结构、成膜装置和原料回收方法
JP5465449B2 (ja) * 2009-03-19 2014-04-09 大日本スクリーン製造株式会社 熱処理用サセプタおよび熱処理装置
KR20120001661U (ko) * 2010-08-30 2012-03-08 주식회사 케이씨텍 서셉터 및 그를 구비한 원자층 증착 장치
JP5859792B2 (ja) * 2011-09-27 2016-02-16 東京エレクトロン株式会社 プラズマエッチング方法
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
KR101455789B1 (ko) * 2013-08-06 2014-11-03 주식회사 알지비하이텍 기판처리장치의 서셉터 및 그를 가지는 기판처리장치
JP6239894B2 (ja) * 2013-08-07 2017-11-29 日本特殊陶業株式会社 静電チャック
KR20150046966A (ko) * 2013-10-23 2015-05-04 삼성디스플레이 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20160106583A (ko) * 2014-01-15 2016-09-12 도쿄엘렉트론가부시키가이샤 성막 방법 및 열처리 장치
US9691645B2 (en) * 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems

Also Published As

Publication number Publication date
KR20170021211A (ko) 2017-02-27
US20170051406A1 (en) 2017-02-23
JP2017041628A (ja) 2017-02-23
TW201718928A (zh) 2017-06-01
KR102617065B1 (ko) 2023-12-26
CN106469666B (zh) 2021-08-13
CN113555270A (zh) 2021-10-26
US20210087680A1 (en) 2021-03-25
TWI725979B (zh) 2021-05-01
US20170051402A1 (en) 2017-02-23
CN106469666A (zh) 2017-03-01

Similar Documents

Publication Publication Date Title
JP6758112B2 (ja) サセプタ、基板処理装置
JP6076246B2 (ja) ゾーン依存熱効率性を備えた温度制御されたプラズマ処理チャンバ部品
KR101731566B1 (ko) 유체 매질을 구비한 고정밀 히터 시스템
JP5523326B2 (ja) 静電チャックアセンブリ
US7297894B1 (en) Method for multi-step temperature control of a substrate
US8207476B2 (en) Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
JP5546552B2 (ja) 液体を制御された複数領域基板支持体による改良基板温度制御
US8084720B2 (en) High rate method for stable temperature control of a substrate
US20110232888A1 (en) Zone temperature control structure
KR20080106041A (ko) 비금속 서셉터를 갖는 플라즈마 cvd 장치
TWM454624U (zh) 以不同材料形成分區的靜電吸盤
US12002661B2 (en) Susceptor having cooling device
US20210249284A1 (en) Fast response dual-zone pedestal assembly for selective preclean
WO2014116434A1 (en) Substrate processing chamber components incorporating anisotropic materials
KR102460313B1 (ko) 기판 처리 장치의 서셉터 및 기판 처리 장치
JP2010244864A (ja) 基板加熱構造体
CN112753097A (zh) 多路复用的基于高tcr的安瓿加热器
TWI797678B (zh) 用於面板溫度控制的系統和方法
US20220002866A1 (en) Pedestal including vapor chamber for substrate processing systems
JP2023060832A (ja) 半導体プロセッシングシステムにおける温度相互作用の防止のための方法および装置
WO2021216520A1 (en) Thermal interface for thermal leveler
JP2020098808A (ja) 熱処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200424

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200728

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200825

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200901

R150 Certificate of patent or registration of utility model

Ref document number: 6758112

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250