KR20080106041A - 비금속 서셉터를 갖는 플라즈마 cvd 장치 - Google Patents

비금속 서셉터를 갖는 플라즈마 cvd 장치 Download PDF

Info

Publication number
KR20080106041A
KR20080106041A KR1020080049749A KR20080049749A KR20080106041A KR 20080106041 A KR20080106041 A KR 20080106041A KR 1020080049749 A KR1020080049749 A KR 1020080049749A KR 20080049749 A KR20080049749 A KR 20080049749A KR 20080106041 A KR20080106041 A KR 20080106041A
Authority
KR
South Korea
Prior art keywords
susceptor
cooling fluid
shower plate
plasma cvd
cooling
Prior art date
Application number
KR1020080049749A
Other languages
English (en)
Inventor
아츠키 후카자와
노부오 마츠키
우진 이
미코 시미즈
Original Assignee
에이에스엠 저펜 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 저펜 가부시기가이샤 filed Critical 에이에스엠 저펜 가부시기가이샤
Publication of KR20080106041A publication Critical patent/KR20080106041A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

플라즈마 CVD 장치는 전극으로 기능하고 그 위에 기판을 안치시키고 접촉하기 위한 냉각 서셉터; 및 그 안에 형성된 다중 관통홀들을 통해서 상기 서셉터를 향해서 기체를 유입시키기 위한 샤워 플레이트를 포함한다. 상기 샤워 플레이트는 전극으로 기능하고 그리고 상기 서셉터에 평행하게 배치된다. 상기 냉각 서셉터는 냉각 유체를 관통시키기 위한 냉각 유체 유동 경로를 구비하는 세라믹 물질로 만들어진다.

Description

비금속 서셉터를 갖는 플라즈마 CVD 장치{Plasma CVD apparatus having non-metal susceptor}
본 발명은 일반적으로 플라즈마 CVD(chemical vapor deposition) 장치에 관한 것이고, 특히 기판 상에 금속 오염을 줄이는 플라즈마 CVD 장치에 관한 것이다.
도 1은 통상적인 플라즈마 CVD 장치의 개략도이다. 통상적인 플라즈마 화학기상증착법(플라즈마 CVD법) 하에서, 막(film)은 반응 챔버(1) 내의 반도체 기판 상에 1 내지 10 Torr의 분위기에서 증착되고, 여기에서 처리될 반도체 기판(5)은 0 내지 350℃로 가열된 저항 가열 타입 등의 히터(3) 상에 높여진다. 히터(3)는 반응 기체를 배출하는 샤워 플레이트(shower plate, 2)에 대면되도록 배치되고, 그리고 13.56 MHz 내지 60 MHz 등의 라디오-주파수(RF) 파워가 100 내지 4000W의 출력 레벨로 샤워 플레이트(2)에 인가되어 히터(3) 및 샤워 플레이트(2) 사이에 RF 방전을 유도하여 플라즈마를 생성한다. 히터(3)는 하부 전극으로 기능하고, 반면 샤워 플레이트(2)는 상부 전극으로 기능한다. 샤워 플레이트(2)에 인가된 RF 파워는 RF 제너레이터(4)로부터 RF 공급 포트(7)를 통해서 공급된다. 기체는 기체 공급 포트(6)를 통해서 반응 챔버(1) 내에 공급되고, 배출 포트(8)로부터 방출된다. 이러한 구 성에 기초하여, 절연막 등이 플라즈마 CVD법에 기초하여 증착될 수 있다. 일반적으로, 상부 및 하부 전극들은 알루미늄으로 만들어진다.
만일 금속 물질이 사용되면, 금속 성분에 의한 오염이 일어나고 이에 따라 금속이 실리콘 기판의 상면 및 바닥 상에 증착되어 절연층을 파괴하거나 또는 다른 문제들을 일으켜 소자 산출량의 감소를 초래한다. 이것은 심각한 문제이고, 결과적으로 금속 오염의 방지에 대한 요구는 소자가 미세한 구조를 가짐에 따라서 더욱 엄격해왔다. 플라즈마 CVD의 경우에 있어서, 많은 경우에 알루미늄 샤워 플레이트는 알루미늄 서셉터와 결합되어 플라즈마 생성용 전극들로 사용되었다.
가열 서셉터(heating susceptor)가 사용되는 일부 경우에 있어서, 이 서셉터의 다른 부분뿐만 아니라 기판이 그 위에 놓여지는 표면으로 사용되는 물질은 금속 대신에 세라믹 등으로 변경된다. 그러나, 가열 서셉터는 약 50 nm 노드의 소자를 목적으로 한 절연막의 증착에 있어 적합하지 않다. 또한, 샤워 플레이트(shower plate)는 금속으로 만들어지고, 이는 다른 문제, 예컨대 웨이퍼 표면 상의 금속 오염을 완전히 제거하지 못하는 어려움을 야기한다. 게다가, 반응기(reactor)를 이루는 많은 구성들이 또한 금속으로 만들어지고, 따라서 이러한 다른 구성들은 또한 조심스런 관찰을 요한다. 이러한 구성들이 많은 경우, 금속 오염은 증착 공정이 수행되기 전에 반응기의 내벽들을 "예비-도포막(pre-coat film)"이라고 불리는 막으로 도포함으로써 방지될 수 있다. 그러나, 예비-도포막의 증착은 예비-도포로 인한 생산성 감소 때문에 장치의 장기적인 안정성에 대한 우려를 야기한다. 반면에, 소자 노드를 축소하는 것은 약 50 nm 소자 노드를 목적으로 한 절연막을 증착하기 위한 방법의 개발을 가속화시킨다. 특히, 이러한 경향은 배선 패턴 및 STI 기술에 심각한 변화를 가져올 것으로 기대되고, 예비-도포막의 증착은 기대되는 새로운 조건 하에서 어려움에 직면할 것이다. 따라서, 약 50 nm 소자 노드용으로 적합한 절연막이 요구되는 조건에서 예비-도포막의 증착에 의존하지 않고, 기판 상의 금속 오염의 역효과를 방지하는 것이 중요하다.
전술한 관점에서, 일 실시예에서, 본 발명은 다음을 포함하는 플라즈마 CVD 장치를 제공한다: (i) 그 위에 기판을 안치시키고 접촉하기 위한 것이고, 전극으로 기능하는 냉각 서셉터(cooling susceptor)로서, 상기 냉각 서셉터는 냉각 유체(cooling fluid)를 관통시키기 위한 냉각 유체 유동 경로(cooling fluid flow path)를 구비하는 세라믹 물질로 만들어지고; 그리고 (ii) 그 안에 형성된 다중 관통홀들을 통해서 상기 서셉터를 향해서 기체를 유입시키기 위한 샤워 플레이트(shower plate)로서, 상기 샤워 플레이트는 전극으로 기능하고 상기 서셉터에 평행하게 배치된다.
상기 실시예는 하기의 실시예들을 더 포함할 수 있지만, 이에 제한되지는 않는다.
전술한 실시예들의 어느 것에 있어서도, 상기 서셉터는 상기 서셉터에 내장된(embedded) RF 플레이트를 더 구비할 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 냉각 유체 유동 경로는 상기 서셉터의 바닥에 제공될 수 있다. 상기 서셉터를 구성하는 상기 세라믹 물질은 AlN 또는 Al2O3일 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 샤워 플레이트는 세라믹 물질로 만들어질 수 있다. 상기 샤워 플레이트를 구성하는 상기 세라믹 물질은 AlN 또는 Al2O3일 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 샤워 플레이트는 볼록한 중심 영역을 가질 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 서셉터는 오목한 중심 영역을 가질 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 플라즈마 CVD 장치는 냉각 유체 출구 및 냉각 유체 입구를 갖는 냉각 유체 순환 디바이스를 더 포함할 수 있고, 냉각 유체 출구 및 냉각 유체 입구의 둘 모두는 상기 서셉터의 상기 냉각 유체 유동 경로에 연결된다. 상기 냉각 유체 순환 디바이스는 10-40% 에틸렌 글리콜(ethylene glycol)을 갖는 수용액인 냉각 유체를 더 포함할 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 서셉터는 리프트 핀들(lift pins)을 포함하고, 상기 리프트 핀들 각각은 상기 서셉터의 표면으로부터 노출된 표면을 갖고, 적어도 상기 표면은 세라믹 물질로 만들어질 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 플라즈마 CVD 장치는 알루미늄 내벽을 갖는 반응 챔버를 더 포함할 수 있고, 상기 반응 챔버 내에 상기 서셉터 및 상기 샤워 플레이트는 평행하게 제공된다.
다른 관점에서, 본 발명은 다음을 포함하는 플라즈마 CVD에 의한 기판 상의 박막 증착 방법을 제공한다: (i) 반응 챔버 내에 청구항 1의 플라즈마 CVD 장치를 제공하는 단계; (ii) 상기 서셉터를 -50℃ 내지 20℃의 온도에서 제어하는 단계; (iii) 상기 서셉터의 표면 상에 기판을 안치하는 단계; (iv) 상기 샤워 플레이트를 통해서 상기 반응 챔버 내로 기체를 유입시키고 상기 샤워 플레이트에 RF 파워를 인가하는 단계; (v) 상기 기판 상에 박막을 증착한다.
상기 실시예는 하기의 실시예들을 더 포함할 수 있지만, 이에 제한되지는 않는다.
상기 냉각 유체 유동 경로는 상기 서셉터의 바닥에 제공될 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 서셉터 온도를 제어하는 단계는 상기 냉각 유체 유동 경로를 통해서 냉각 유체를 순환시키는 단계를 포함할 수 있다.
전술한 실시예들의 어느 것에 있어서도, 상기 냉각 유체는 10-40% 에틸렌 글리콜을 갖는 수용액일 수 있다.
발명을 요약하고 관련 기술에 비추어 얻어지는 장점들을 설명하기 위한 목적으로, 본 발명의 일부 목적 및 장점들이 여기에 개시된다. 물론, 모든 그러한 목적 또는 장점들이 본 발명의 여하의 특정 실시예에 따라서 반드시 얻어져야하는 것은 아니라는 것이 이해될 수 있다. 따라서, 예를 들어, 해당 기술 분야에서 통상의 지식을 가진 자는 본 발명이 여기에 교시되거나 암시될 수 있는 다른 목적 또는 장점들을 꼭 달성하지 않고서도 여기에 교시된 하나의 장점 또는 일군의 장점들을 달성하거나 또는 최적화시키는 형태로 구체화되거나 수행될 수 있음을 인식할 수 있다.
본 발명의 다른 관점, 특징 및 장점은 아래의 바람직한 실시예들에 대한 상세한 설명으로부터 자명해질 것이다.
본 발명에 따르면, 비금속 서셉터 및/또는 샤워 플레이트를 사용하여, 반응 챔버 내에서 금속 오염을 크게 감소시킬 수 있다. 따라서 본 발명에 따른 플라즈마 CVD에 의한 막 증착은 모든 기판 공정 및 배선 공정에 적용 가능하다. 게다가, 본 발명에 따르면, 증착 공정 전에 예비-도포나 상부 및 하부 전극을 보호하기 위한 여하의 다른 전통적인 수단들이 더 이상 필요하지 않게 된다. 따라서, 본 발명에 따르면, 생산성뿐만 아니라 웨이퍼 처리의 단위 비용을 절감시킬 수 있다.
이제, 본 발명의 이러한 그리도 다른 특징들이 바람직한 실시예들의 도면들을 참조하여 설명될 것이고, 이러한 도면들은 본 발명을 설명하기 위한 것이고 제한할 의도로 제공된 것은 아니다. 도면들은 설명 목적으로 과도하게 단순화되었고, 그 비율대로 제공되지 않는다.
전술한 바와 같이, 디바이스 노드(device node)가 50 nm 수준에 근접함에 따라서 배선 패턴들 및 STI 기술은 심각하게 변화되고 있다. 특히 메모리 디바이스에 있어서, 절연막용 저유전율(low-k) 물질의 적용, Cu 배선의 도입, 및 다른 방법들은 RC 지연을 억제하기 위한 목적으로 검토되고 있다. 또한, 저유전율 물질이 그러한 목적으로 검토되는 경우, 금속전 유전체(pre-metal dielectric; PMD) 절연막들의 유전 상수를 줄이기 위한 필요가 있다. 한편, STI에 기초한 임베디드 산화막들(embedded oxide films)은 또한 그 폭이 점점 좁아지고, 오늘날 사용된 산화막들의 크기 및 애스펙트비(aspect ratio)는 각각 35 내지 50 nm의 범위 및 1:20 부근에 있다. 통상적인 방법들, 예컨대 HDP-CVD(고밀도 플라즈마 CVD) 및 O3계 CVD는 더 이상 충분한 매립을 달성할 수 없다. 이에 따라, 오늘날의 디바이스들은 배선 패턴 및 STI에 의해서 요구되는 본질적인 막 특성으로 좋은 매립 특성 및 미세 크기를 제공하는 막을 요구하고 있다. 실리콘 기판 상에 유체 반응체를 형성하고 이어서 이러한 반응체를 표면 장력에 의해서 기판 구조 내로 장입하기 위한 기술에 대한 연구가 행해지고 있다. 실리콘 기판 상에 유체 반응체를 형성하기 위해서, 서셉터 온도가 낮춰질 필요가 있는데, 왜냐하면 그러한 반응체의 형성은 플라즈마 방전에 의해서 증착된 반응체가 다시 액화될 때만 가능하기 때문이다. 보다 구체적으로는, 서셉터 온도는 바람직하게는 -50 내지 20℃ 범위이고, 만일 서셉터 온도가 이러한 범위를 넘어서면 충분한 매립 특성이 용이하게 달성될 수 없다. 여기에서, 증착된 막 물질은 후처리, 예컨대 열처리 또는 UV 경화(curing)를 거쳐서 경화되고, 그 결과 막으로 만들어진다. 상기 물질은 여전히 액체이고, 증착 단계에서 막 형태로 존재하지 않는다.
유사하게, 냉각 서셉터에 의해서 증착된 절연막은 또한 불완전한 상태에 있어서 약하고, 이것이 예비-코팅을 적용하기 어렵게 한다. 이러한 이유로, 본 발명의 일 실시예에서 비금속 물질들이 예비-코팅의 필요성을 없애기 위해서 반응기 내부의 구성용으로 사용된다. 본 발명의 일 실시예에서, 세라믹, 예컨대 AlN 또는 Al2O3는 실리콘 기판의 앞면 및 뒷면이 금속 물질과 접촉하는 환경을 생성하는 것을 방지하기 위해서 샤워 플레이트 및/또는 냉각 서셉터를 구성하기 위해 사용된다.
본 발명은 바람직한 실시예들 및 도면들을 참조하여 상세하게 설명될 것이다. 그러나, 바람직한 실시예들 및 도면들은 본 발명을 제한할 의도로 제공되지는 않는다.
도 2는 본 발명의 일 실시예에 따른 플라즈마 CVD 장치의 개략도이다(이 도면은 설명의 목적으로 과도하게 단순화되었다). 이 실시예에서, 하부 세라믹 전극(24) 및 상부 세라믹 전극(22)은 반응 챔버(1) 내에 각각 평행하게 배치된다. 하부 세라믹 전극(24)은 냉각 서셉터이고 따라서 "냉각 서셉터"로도 불린다. 여기에서, 서셉터 그 자체는 세라믹으로 만들어진다. 또한, 이 실시예에서, 기판은 서셉터 바로 위에 놓여지고, 다른 물질로 만들어진 부가적인 플레이트 또는 분리된 부재가 없다. 일 실시예에서, AlN, 또는 Al2O3은 냉각 서셉터용 물질로 사용되고, 냉각 매체 유동 채널(cooling medium flow channel, 25)은 그 물질 안에 바로 형성된다. 참고적으로, 이러한 유동 채널은 AlN 또는 Al2O3 물질 내에 홀을 형성하여 유동 채널을 형성하거나 또는 이 유동 채널의 반으로 각각 형성된 두 AlN 또는 Al2O3 파트를 연결하여 형성될 수 있다. 냉각 서셉터가 또한 하부 전극으로 기능하기 때문에, RF 전극은 세라믹 냉각 서셉터 내에 내장된다.
반면에, 이 실시예에서 상부 세라믹 전극(22)은 그 안에 RF 전극이 내장된 세라믹 샤워 플레이트를 갖는다. 세라믹 샤워 플레이트의 상면은 그 샤워 플레이트 온도 조절을 가능하게 하는 가열 디바이스(17)를 갖는다. 일 실시예에서, 히터(미도시)가 또한 반응 챔버의 벽 속에 만들어져 그 반응 챔버 내의 온도 제어를 가능하게 한다. 이 도면에 도시된 장치는 모두 세라믹으로 만들어진 상부 및 하부 전극들을 갖지만, 일 실시예에서 단지 하부 전극만 세라믹으로 만들어질 수도 있다.
도 3은 본 발명의 일 실시예에 따른 냉각 서셉터의 단면을 보여주는 개략도이다. 이 서셉터는 기판 지지부(substrate supporting portion, 38) 및 샤프트부(shaft portion, 37)를 포함하고, 그리고 바람직하게는 이 둘은 완전히 세라믹으로 만들어질 수 있다. 일 실시예에서, 단지 기판 지지부(38)만이 세라믹으로 만들어질 수도 있다. 금속(예컨대 텅스텐 또는 티타늄)으로 만들어진 RF 플레이트(31)는 기판 지지부(38) 내에 (예를 들어, 표면으로부터 0.2 내지 50 mm 깊이에) 내장되고, 여기에서 RF 플레이트(31)는 그물과 같은(net-like) 형상, 또는 그 유사한 것, 그리고 약 0.1 내지 3mm의 두께를 갖는다. 접지 막대(ground bar, 34)는 이 RF 플레이트(31)에 연결되어 접지 연결을 제공한다. 이 기판 지지부(38)는 또한 냉각수 유동 채널(32)(비록 냉각 매체가 물에 한정되는 것은 아니지만, "냉각수 유동 채널"이라는 용어가 편의상 사용될 수 있다)을 갖고, 냉각수 유동 채널(32)은 냉각수 공급 파이프(35) 및 샤프트부(37) 내에 공급된 냉각수 순환 파이프(36)에 연결되고, 그 결과 냉각 매체는 냉각수 공급 파이프(35)로부터 샤프트부 근처 위치에서 냉각수 유동 채널(32)에 공급되고, 그에 따라 냉각 수단이 기판 지지부(38) 내에서 내부로부터 외부를 향해 순환되고 이어서 마지막으로 샤프트부 근처로 되돌아가 냉각수 순환 파이프(36)로부터 배출된다. 그런데, 도 3에 도시된 냉각수 유동 채널의 형상은 대략적이고 과도하게 단순화된 것이다. 냉각수 유동 채널(32)은 소용돌이(swirling) 형상 또는 예를 들어 원주 방향으로 지그재그로 움직이거나 굽이치는 형상을 갖고, 그 결과 냉각 매체는 기판 지지부(38) 내에서 균일하게 순환할 것이다. 기판 지지부(38)의 온도는 세라믹 내에 (예를 들어, 기판 지지부(38)의 깊이 의 중심에 대응되는 중심부근의 위치에) 내장된 온도 측정용 열전대(33)를 이용하여 측정될 수 있다.
참고로, 이 도면에서, 냉각수 유동 채널은 기판지지 표면 위에 불균일한 온도 불균일성을 줄이기 위해서 RF 플레이트 아래의 기판 지지부(38)의 바닥(기저)에 제공된다. 그러나, 일 실시예에서, 냉각수 유동 채널은 기판 지지부(38)의 깊이의 중심에 대응되는 위치에 제공될 수 있다. 일 실시예에서, 냉각수 유동 채널의 크기는 단면으로 약 1 내지 10 cm2이다. 비록, 냉각수 유동 채널이 도 3에서 정사각형 단면을 갖지만, 이 단면은 일 실시예에서 원 또는 타원일 수도 있다.
도 7은 본 발명의 다른 실시예에 따른 냉각 서셉터의 (위에서 본) 평면도의 개략도이다. 냉각 매체 유동 채널은 점선으로 표시된다. 이 냉각 매체 유동 채널(72)은, 냉각 매체가 중심 부근에 제공된 냉각 매체 입구 포트(73)로부터 냉각 서셉터로 들어가고, 중심으로부터 외부로 대략 소용돌이 형상으로 흐르고, 그리고 이어서 중심 부근에 제공된 냉각 매체 출구 포트(74)를 통해서 최외측부(75)로부터 냉각 서셉터를 빠져나가도록 제공된다. 이 실시예에서 제공된 서셉터가 도면에서 네 섹션으로 분할될 때, 냉각 매체 유동 채널은 좌상부 내에서를 제외하고 일정한 곡률을 유지한다. 구체적으로, 중심으로부터 외부 외면으로 이 순서대로 배치된 제 1 유동 채널(72a), 제 2 유동 채널(72b), 제3 유동 채널(72c) 및 제 4 유동 채널(72d)은 모두 각 유동 채널 섹션에서 일정한 곡률을 갖는다. 그러나, 소용돌이 형상을 형성하기 위해서, 좌상부에서 유동 채널은 직진부(72a', 72b', 72c') 및 아치부(arching portions)를 포함한다. 이러한 레이아웃을 채용함으로써, 리프트 핀용 홀(71)이 효과적으로 (냉각 효율을 감소시키지 않고) 피해질 수 있다(그리고 온도 측정용 열전대(33)가 효과적으로 또한 피해질 수 있다). 유동 채널은 서셉터의 두께 방향으로 단일 레벨로만 제공될 수 있지만, 또한 일 실시예에서 둘 또는 그 이상의 레벨을 갖도록 구성될 수도 있다.
이러한 유동 채널은 여하의 분리된 부재를 사용하지 않고 (예를 들어, 서셉터를 구성하는 세라믹을 소결하여 유동 채널에 대응하는 부분을 형성한 후 그리고 이어서 서셉터 세라믹을 소결한 후에 제거되는 물질을 사용하거나, 또는 오목 유동 채널을 갖는 일부를 주조하고 이어서 그것을 베이스가 되는 분리되어 형성된 부분에 연결함으로써) 세라믹 서셉터 내에 바로 형성될 수 있다. 유동 채널이 세라믹 서셉터 내에 바로 제공되기 때문에, 뛰어난 열전도율이 얻어질 수 있고, 그것이 다음에는 높은 냉각 효율로 인도한다. 게다가, 이 실시예에서 세라믹을 제외한 어떠한 다른 물질도 서셉터의 기판 지지부의 표면용으로 사용되지 않고, 이것이 기판 지지부의 표면(플라즈마에 노출된 표면)이 냉각 매체와 높은 열전도성을 형성하여 효과적으로 냉각된다.
일 실시예에서, 기판 지지부(38)의 두께는 약 1.5 내지 15 cm이고, 반면 그 직경은 약 33 내지 40 cm이다. 일 실시예에서, 샤프트부(37)의 직경은 약 5 내지 15 cm이고, 반면 그 길이는 약 15 내지 40 cm이다.
일 실시예에서, 냉각 유동 채널을 통해서 흐르는 냉각 매체는 약 20 내지 50% 물과 에탄올 및/또는 에틸렌 글리콜의 혼합물이다(예를 들어, 물로 50% 또는 그 이하 농도로 희석된 에탄올 용액, 또는 물로 60% 또는 그 이하로 희석된 에틸렌 글리콜 용액). 그러나, 냉각 매체는 전술한 용액에 한정되지 않고, 여하의 유체도 그 구성이 그 유체가 저온에서 어는 것을 방지하고 높은 비열 및 높은 유동성을 제공하는 한에서는 사용될 수 있다. 냉각 매체의 농도 및 물질은 다양한 인자, 예컨대 냉각에 의해서 낮춰질 온도에 따라서 결정될 수 있다. 일 실시예에서, 냉각 매체의 냉각은 반응 챔버(1)의 외부에 설치된 냉각수 순환 디바이스(예컨대 후술하는 도 6의 66)를 이용하여 실현되며, 그 결과 냉각 매체 온도의 제어가 가능해진다. 이러한 온도 제어는 냉각수 순환 디바이스를 이용하여 냉각 매체 온도를 조절함으로써 달성된다. 특정 온도로 냉각된 냉각 매체의 장입 속도는 온도 측정용 열전대(33)의 온도(이 온도는 이후 "냉각 서셉터 온도"로 지칭됨)를 모니터링하여 필요한 만큼 조절될 수 있다. 일 실시예에서, 냉각 서셉터 온도는 20도 또는 그 이하의 온도, 예컨대 50℃ 내지 20℃ (-40℃, -30℃, -20℃, -10℃, 0℃, 10℃ 및 전술한 여하의 두 숫자 사이의 값을 포함하여) 범위 내의 온도로 조절될 수 있다. 냉각이 수행될 때, 전술한 바와 같이 제어된 냉각 매체는 온도 제어를 공급하기 위해서 유동 채널을 통해서 일정하게 흐른다.
냉각 서셉터 주위로 이슬 맺힘을 방지하기 위해서, 반응기가 열고 닫힐 때, 냉각 서셉터 온도는 상온 또는 그 위로 (25℃, 30℃, 35℃, 40℃, 45℃ 및 전술한 여하의 두 숫자 사이의 값들을 포함하여) 유지되는 것이 바람직하고, 이것은 냉각 매체를 가열 매체(예컨대 온수)로 전환함으로써 용이하게 달성될 수 있다. 대안으로, 냉각 서셉터 온도는 반응기 내부 벽 내에 또는 샤워 플레이트 내에 제공된 히 터로부터의 방사열을 이용하여 전술한 바와 같이 조절될 수 있다. 일 실시예에서, 온도 세팅은 바람직하게는 반응기가 대기 상태로 방면되는 순간 가열 모드로 전환될 수 있다.
일 실시예에서, 비금속 물질, 예컨대 AlN 또는 Al2O3이 또한 냉각 서셉터에서 동일한 방식으로 샤워 플레이트에 사용될 수 있다. 금속 오염은 플라즈마 방전이 일어나는 상부 및 하부 전극들 사이에 배치된 실리콘 기판에 심각한 영향을 주기 때문에, 바람직한 조처는 상부 및 하부 전극들 둘 다의 물질을 비금속 물질, 예컨대, AlN 또는 Al2O3으로 바꾸어 실리콘 기판의 상면 및 바닥면의 금속 오염을 극적으로 줄이는 것이다. 전술한 조처가 이행된 후 실리콘 기판의 상면 및 바닥면에 대한 검사가 수행되는 금속 오염 연구에서, 금속 오염의 레벨은 모든 금속 원소를 포함하여 5.0 x 1010 원자/cm2 또는 그 미만이다. 만일 상부 및 하부 전극들이 알루미늄으로 만들어진 경우, 약 1.0 x 1012 원자/cm2의 알루미늄 오염이 발생하고 이것은 반도체 소자 제조 공정에 의존하는 그러한 장치의 사용을 어렵게 할 것이다. 금속 오염을 줄이기 위해서 세정 공정을 부가하는 것이 또한 필요하다. 플라즈마 CVD에 기초한 많은 공정들이 금속 배선들 근처에 사용된다. 본 발명의 일 실시예에서, 금속 오염은 크게 감소되고 따라서 플라즈마 CVD에 의한 막 증착은 모든 기판 공정 및 배선 공정에 가능하다. 게다가, 일 실시예에서 증착 공정 전에 예비-도포나 상부 및 하부 전극을 보호하기 위한 여하의 다른 전통적인 수단들이 더 이상 필요하 지 않게 되고, 이것은 생산성뿐만 아니라 또한 웨이퍼 처리의 단위 비용을 절감시킨다.
도 4는 본 발명의 일 실시예에 따른 샤워 플레이트(43)의 단면을 보여주는 개략도이다. 이 세라믹 샤워 플레이트는 그 안에 내장된 RF 플레이트(41)를 갖는다. 이 RF 플레이트는 기본적으로 세라믹 서셉터 내에 내장된 RF 플레이트와 동일한 구조를 갖는다. 샤워 플레이트는 기체를 배출하기 위한 많은 홀들(미도시)을 갖기 때문에, RF 플레이트는 이러한 홀들과 간섭되지 않게 구성될 수 있다. 세라믹 샤워 플레이트는 가열 디바이스(도 2의 17)를 갖고 샤워 플레이트 온도가 약 50 내지 250℃ 범위 내에서 제어되도록 한다. 일 실시예에서, 기체 출구에서 세라믹 샤워 플레이트의 두께는 약 0.2 내지 5cm이고, 반면 그 직경(외부 외면)은 약 30 내지 50 cm이다.
일 실시예에서, 서셉터 플레이트는 막 두께 등의 분포를 고려하여 그 표면 상에 높이 차이를 갖는다. 예를 들어, 도 5는 서셉터의 단면을 보여주는 개략도를 제공한다(냉각 매체 유동 채널은 나타내지 않음). 도 5에서, 서셉터(53)(직경 300 mm의 기판용)는 중심 부근에서 135 내지 150.5 mm의 면적 (또는 270 내지 301 mm 직경)을 덮는 점진적인 오목 영역(51)을 갖는다. 일 실시예에서, 이 오목 영역은 기판 지지 표면(기판을 지지하기 위해 사용된 표면)의 약 70 내지 95%(등가 수평 표면 영역)에 대응한다. 다른 실시예에서, 오목 영역은 기판과 실질적으로 또는 대략적으로 같다. 도 5에서, 오목 영역(51)은 중심 방향으로 경사지고, 그 깊이는 중심에서 0.5 내지 2 mm이다. 다른 실시예에서, 전체 오목 영역은 평평하고 복수의 움푹 들어간 곳(dimples)을 갖고 그 결과 그 표면은 기판과 완전히 접촉하지 못할 것이다. 일 실시예에서, 이러한 움푹 들어간 곳의 깊이는 40 내지 80 ㎛이다.
샤워 플레이트에 대해서, 일 실시예에서 막 두께의 분포는 서셉터에서와 동일한 방식으로, 원형 패턴 내에 배치된 홀들의 수뿐만 아니라 그 표면 상의 높이 에 의해서 제어된다. 예를 들어, 표면 형상의 개략도가 도 4에 도시된다. 도 4에서, 점진적인 볼록 영역(42)은 중심 부근의 20000 내지 69000 mm2를 덮도록 샤워 플레이트 표면 상에 제공되고, 여기에서 이 영역은 샤워 플레이트의 앞면의 약 25 내지 90%(등가 수평 표면 면적으로)을 차지한다. 일 실시예에서, 볼록 영역의 중심 높이는 샤워 플레이트의 외부 외면으로부터 측정될 때 약 0.5 내지 6 mm이다. 그러나, 많은 경우 평평한 샤워 플레이트는 표준 규격으로 사용됨에 주의하라.
전술한 바와 같이, 금속 오염을 줄이기 위해서 웨이퍼와 접촉되는 영역에 비금속 물질, 예컨대 AlN 또는 Al2O3을 사용하는 것이 효과적이다. 상부 및 하부 전극들을 비금속 물질로 구성하는 것은 또한 그 전극들 주위에 기체 유동이 고려될 때 상부 및 하부 전극들 사이의 영역이 플라즈마 반응에 의해서 생성된 활성 종(active species)에 영향을 받기 쉽다는 점에서 효과적이다. 만일 상부 전극이 금속 물질로 만들어진다면, 상부 전극으로부터 흘러 내려오는 기체는 금속과 만나고, 그리고 이것은 금속 오염물의 부착에 이른다. 이에 따라, 하부 전극뿐만 아니라 또한 상부 전극이 비금속 물질로 만들어질 필요가 있다.
플라즈마 CVD에 의한 막 형성 방법은 통상적인 장치의 예에서 이미 설명되었 다. 특히, 샤워 플레이트를 통해서 반응기 내로 유입된 기체는 상부 및 하부 전극들을 각각 구성하는 샤워 플레이트 및 서셉터의 사이에서 방전된 플라즈마와 반응하고, 그 반응물이 실리콘 기판 상에 증착된다. 이후, 기체는 배출 플레이트에 의해서 배출 라인으로 인도되고 건조 펌프로 이동되고, 그리고 마지막으로 배출된다. 냉각 서셉터 플레이트는 냉각 서셉터 내에서 흐르는 냉각 매체를 제어함으로써 냉각된다. 서셉터 플레이트의 온도는 서셉터 내에 장착된 열전대에 의해서 검출된다. 온도 제어는 냉각수 순환 디바이스에 의해서 제어되는 냉각 매체를 유동 채널을 통해서 서셉터 내로 도입함으로써 수행된다.
도 6은 본 발명의 일 실시예에 따른 플라즈마 CVD 장치의 개략도이다. 이 도면에서, 세 반응 챔버들(1)은 게이트 밸브(70)를 통해서 기판 트랜스퍼 챔버(68)에 연결된다. 두 로드락 챔버들(63)은 또한 기판 트랜스퍼 챔버(68)에 연결된다. 로드락 챔버(63)는 미니 환경(mini environment, 67)을 통해서 기판 카세트(61)에 연결된다. 기판은 미니 환경(67) 내에 위치한 대기압 로봇(atmospheric robot, 62)에 의해서 기판 카세트(61)로부터 로드락 챔버(63) 내로 이송되고, 이어서 기판 트랜스퍼 챔버(68) 내에 위치한 진공 로봇(vacuum robot, 64)에 의해서 상기 기판이 처리될 각 반응 챔버(1) 내로 더 이송된다. 냉각 서셉터(24)는 반응 챔버(1) 내에 제공되고, 그리고 이 냉각 서셉터(24)는 냉각수 순환 디바이스(66)에 연결되고 그 결과 냉각 매체는 냉각 서셉터 내에서 순환되도록 냉각 매체 공급 파이프(69)를 통해서 냉각수 순환 디바이스(66)로부터 공급되고, 결국 냉각 매체 순환 파이프(65)를 통해서 냉각수 순환 디바이스(66)로 되돌아온다.
참고로, AlN 및 Al2O3이 세라믹 물질로 주요하게 사용됨에도 불구하고, BN 및 다른 물질들이 또한 일 실시예에서 사용될 수 있다.
본 개시에서, 조건들 및/또는 구조는 구체화되지 않았지만, 해당 기술 분야에서 숙련된 자라면 본 개시에 비추어 통상의 실험을 거쳐서 그러한 조건들 및/또는 구조들을 용이하게 제공할 수 있다.
또한, 본 개시에서, 실시예들에서 제공된 숫자는 다른 실시예들에서 ±50%만큼 수정될 수 있고, 실시예들에서 적용된 범위들은 종점들을 포함하거나 또는 배제할 수 있다.
예(example)
본 발명은 예들을 참조하여 설명될 것이다. 그러나, 예들은 본 발명의 제한할 의도로 제공된 것은 아니다. 예들에서, 플라즈마 CVD 장치로서, Eagle10 (ASM 일본)이 예들에서 설명된 서셉터 및 샤워 플레이트를 제외하고 사용되었다.
통상적인 예
여기에 사용된 서셉터, 샤워 플레이트 및 막 증착 조건들은 아래와 같다:
샤워 플레이트 물질: 알루미늄
서셉터 물질: 알루미늄
서셉터 온도: 0℃
샤워 플레이트 온도: 100℃
반응기 측벽 온도: 100℃
DM-DMOS 유량: 25 sccm
헥산(hexane) 유량: 80 sccm
헬륨(He) 유량: 630 sccm
O2 유량: 100 sccm
반응기 압력: 400 Pa
방전 갭(discharge gap): 20 mm
전술한 조건들 하에서 동작 결과, 하기 레벨의 금속 오염이 검출되었다(ICP-MS 평가에 기초함). 참고로, 표준은 각 원소에 대해서 5 x 1010 원자/cm2 또는 미만이다. "기타(others)"는 니켈 및 망간과 같은 금속 원소들의 합을 나타낸다.
알루미늄: 1 x 1013 원자/cm2
티타늄: 3 x 1011 원자/cm2
크롬: 8.5 x 1010 원자/cm2
기타: 5 x 1010 원자/cm2 또는 그 미만
위와 같이, 기타 금속 원소들의 금속 오염이 표준 근처이었지만, 알루미늄, 티타늄 및 크롬은 모두 표준을 초과했고, 금속 오염이 확인되었다. 여기에서, 검출된 금속들은 상부 및 하부 전극들로부터 기인한 것으로 가정된다.
예 1
여기에 사용된 서셉터, 샤워 플레이트 및 막 증착 조건들은 아래와 같다:
샤워 플레이트 물질: AlN
서셉터 물질: AlN
서셉터 온도: 0℃
샤워 플레이트 온도: 100℃
반응기 측벽 온도: 100℃
DM-DMOS 유량: 25 sccm
헥산(hexane) 유량: 80 sccm
헬륨(He) 유량: 630 sccm
O2 유량: 100 sccm
반응기 압력: 266 Pa
방전 갭: 20 mm
전술한 조건들 하에서 동작 결과, 하기 레벨의 금속 오염이 검출되었다(ICP-MS 평가에 기초함). 참고로, 표준은 각 원소에 대해서 5 x 1010 원자/cm2 또는 미만이다. "기타"는 철, 크롬, 티타늄 및 니켈과 같은 금속 원소들의 합을 나타낸다.
알루미늄: 4.5 x 1010 원자/cm2
기타: 5 x 1010 원자/cm2 또는 미만
위와 같이, 금속 오염 레벨에 있어서 큰 향상이 있었다. 특히, 알루미늄 오 염은 세 차수(order) 정도 감소되었다.
예 2
여기에 사용된 서셉터, 샤워 플레이트 및 막 증착 조건들은 아래와 같다:
샤워 플레이트 물질: AlN
서셉터 물질: AlN
서셉터 온도: 0℃
샤워 플레이트 온도: 100℃
반응기 측벽 온도: 100℃
DM-DMOS 유량: 25 sccm
헥산(hexane) 유량: 80 sccm
헬륨(He) 유량: 830 sccm
O2 유량: 100 sccm
반응기 압력: 800 Pa
방전 갭: 20 mm
전술한 조건들 하에서 동작 결과, 하기 레벨의 금속 오염이 검출되었다(ICP-MS 평가에 기초함). 참고로, 표준은 각 원소에 대해서 5 x 1010 원자/cm2 또는 미만이다. "기타"는 철, 크롬, 티타늄 및 니켈과 같은 금속 원소들의 합을 나타낸다.
알루미늄: 3 x 1010 원자/cm2
기타: 5 x 1010 원자/cm2 또는 미만
위와 같이, 금속 오염 레벨에 있어서 큰 향상이 있었다. 특히, 알루미늄 오염은 세 차수 정도 감소되었다.
본 발명의 사상으로부터 벗어나지 않고 많은 그리고 다양한 변형이 이루어질 수 있음은 해당 기술 분야에서 통상의 지식을 가진 자에게 이해될 수 있다. 따라서, 본 발명의 형태는 단지 설명을 위해서 제공되고 본 발명의 범위를 제한하지 않음은 명백하게 이해되어야 한다.
도 1은 통상적인 플라즈마 CVD 장치의 개략도이다.
도 2는 본 발명의 일 실시예에 따른 플라즈마 CVD 장치의 개략도이다.
도 3은 본 발명의 일 실시예에 따른 냉각 서셉터의 단면을 보여주는 개략도이다.
도 4는 본 발명의 일 실시예에 따른 샤워 플레이트의 단면을 보여주는 개략도이다.
도 5는 본 발명의 일 실시예에 따른 서셉터의 단면을 보여주는 개략도이다(냉각 매체 유동 채널은 나타내지 않음).
도 6은 본 발명의 일 실시예에 따른 다중 반응기들 및 서셉터 냉각 디바이스를 포함하는 플라즈마 CVD 장치의 개략도이다.
도 7은 본 발명의 일 실시예에 따른 냉각 서셉터의 평면을 보여주는 개략도이다.
사용된 부호들의 설명은 다음과 같다: 1: 반응 챔버; 2: 상부 전극 (샤워 플레이트); 3: 하부 전극(서셉터); 4: 라디오-주파수(RF) 제너레이터; 5: 반도체 기판; 6: 기체 공급 포트; 7; RF 파워 공급 포트; 8; 배출 포트; 17: 가열 디바이스; 22: 세라믹 샤워 플레이트; 24: 세라믹 서셉터(냉각 서셉터); 31: RF 전극; 32: 냉각 매체 유동 채널; 33: 열전대; 34: 접지 막대; 35: 냉각수 공급 파이프; 36: 냉각수 순환 파이프; 37: 샤프트부; 38: 기판 지지부; 41: RF 플레이트; 42: 볼록 영역; 43: 샤워 플레이트; 51: 볼록 영역; 53: 냉각 서셉터; 61: 웨이퍼 카세트; 62: 대기압 로봇; 63: 로드락 챔버; 64: 진공 로봇; 65: 냉각수 순환 파이프; 66: 냉각수 순환 디바이스; 67: 미니 환경; 68: 웨이퍼 트랜스퍼 챔버; 69: 냉각수 공급 파이프; 70: 게이트 밸브.

Claims (16)

  1. 전극으로 기능하고, 그 위에 기판을 안치시키고 접촉하기 위한 냉각 서셉터; 및
    그 안에 형성된 다중 관통홀들(throughholes)을 통해서 상기 서셉터를 향해서 기체를 유입시키기 위한 샤워 플레이트를 포함하고,
    상기 냉각 서셉터는 냉각 유체를 관통시키기 위한 냉각 유체 유동 경로를 구비하는 세라믹 물질로 만들어지고, 상기 샤워 플레이트는 전극으로 기능하고 상기 서셉터에 평행하게 배치된, 플라즈마 CVD 장치.
  2. 제 1 항에 있어서, 상기 서셉터는 상기 서셉터 내에 내장된 RF 플레이트를 더 구비하는 플라즈마 CVD 장치.
  3. 제 1 항에 있어서, 상기 샤워 플레이트는 세라믹 물질로 만들어진 플라즈마 CVD 장치.
  4. 제 1 항에 있어서, 상기 냉각 유체 유동 경로는 상기 서셉터의 바닥에 제공된 플라즈마 CVD 장치.
  5. 제 1 항에 있어서, 상기 서셉터를 구성하는 상기 세라믹 물질은 AlN 또는 Al2O3인 플라즈마 CVD 장치.
  6. 제 3 항에 있어서, 상기 샤워 플레이트를 구성하는 상기 세라믹 물질은 AlN 또는 Al2O3인 플라즈마 CVD 장치.
  7. 제 1 항에 있어서, 상기 샤워 플레이트는 볼록한 중심 영역을 갖는 플라즈마 CVD 장치.
  8. 제 1 항에 있어서, 상기 서셉터는 오목한 중심 영역을 갖는 플라즈마 CVD 장치.
  9. 제 1 항에 있어서, 냉각 유체 출구 및 냉각 유체 입구를 갖는 냉각 유체 순환 디바이스를 더 포함하고, 상기 냉각 유체 출구 및 상기 냉각 유체 입구는 상기 서셉터의 상기 냉각 유체 유동 경로에 연결된 플라즈마 CVD 장치.
  10. 제 9 항에 있어서, 상기 냉각 유체 순환 디바이스는 10-40% 에틸렌 글리콜을 갖는 수용액인 냉각 유체를 더 포함하는 플라즈마 CVD 장치.
  11. 제 1 항에 있어서, 상기 서셉터는 리프트 핀들을 포함하고, 상기 리프트 핀 들 각각은 상기 서셉터의 표면으로부터 노출된 표면을 갖고, 적어도 상기 표면은 세라믹 물질로 만들어진 플라즈마 CVD 장치.
  12. 제 1 항에 있어서, 알루미늄 내벽을 갖는 반응 챔버를 더 포함하고, 상기 반응 챔버 내에서 상기 서셉터 및 상기 샤워 플레이트는 평행하게 제공된 플라즈마 CVD 장치.
  13. 반응 챔버 내에 제 1 항의 플라즈마 CVD 장치를 제공하는 단계;
    상기 서셉터를 -50℃ 내지 20℃의 온도에서 제어하는 단계;
    상기 서셉터의 표면 상에 기판을 안치하는 단계;
    상기 샤워 플레이트를 통해서 상기 반응 챔버 내로 기체를 유입시키고 상기 샤워 플레이트에 RF 파워를 인가하는 단계; 및
    상기 기판 상에 박막을 증착하는 단계를 포함하는, 플라즈마 CVD에 의해서 기판 상에 박막을 증착하는 방법.
  14. 제 13 항에 있어서, 상기 냉각 유체 유동 경로는 상기 서셉터의 바닥에 제공하는, 플라즈마 CVD에 의해서 기판 상에 박막을 증착하는 방법.
  15. 제 14 항에 있어서, 상기 서셉터 온도를 제어하는 단계는 상기 냉각 유체 유동 경로를 통해서 냉각 유체를 순환시키는 것을 포함하는, 플라즈마 CVD에 의해서 기판 상에 박막을 증착하는 방법.
  16. 제 15 항에 있어서, 상기 냉각 유체는 10-40% 에틸렌 글리콜을 갖는 수용액인, 플라즈마 CVD에 의해서 기판 상에 박막을 증착하는 방법.
KR1020080049749A 2007-05-30 2008-05-28 비금속 서셉터를 갖는 플라즈마 cvd 장치 KR20080106041A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/755,491 US20080299326A1 (en) 2007-05-30 2007-05-30 Plasma cvd apparatus having non-metal susceptor
US11/755,491 2007-05-30

Publications (1)

Publication Number Publication Date
KR20080106041A true KR20080106041A (ko) 2008-12-04

Family

ID=40088579

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080049749A KR20080106041A (ko) 2007-05-30 2008-05-28 비금속 서셉터를 갖는 플라즈마 cvd 장치

Country Status (4)

Country Link
US (1) US20080299326A1 (ko)
JP (1) JP2008300832A (ko)
KR (1) KR20080106041A (ko)
CN (1) CN101314847A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160110218A (ko) * 2015-03-11 2016-09-21 에이에스엠 아이피 홀딩 비.브이. 기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US8707754B2 (en) * 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
DE102010027224A1 (de) * 2010-07-15 2012-01-19 Forschungszentrum Jülich GmbH Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas
KR101843609B1 (ko) * 2011-03-04 2018-05-14 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103369810B (zh) * 2012-03-31 2016-02-10 中微半导体设备(上海)有限公司 一种等离子反应器
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9353441B2 (en) 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9293303B2 (en) * 2013-08-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Low contamination chamber for surface activation
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6320812B2 (ja) * 2014-03-19 2018-05-09 株式会社東芝 圧力センサの製造方法、成膜装置及び熱処理装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6565502B2 (ja) * 2015-09-03 2019-08-28 株式会社島津製作所 成膜装置及び成膜方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN105513958A (zh) * 2015-12-25 2016-04-20 武汉华星光电技术有限公司 一种蚀刻设备及反应槽装置
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
JP2017199851A (ja) * 2016-04-28 2017-11-02 株式会社ディスコ 減圧処理装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
IT201600099783A1 (it) * 2016-10-05 2018-04-05 Lpe Spa Reattore per deposizione epitassiale con riflettore esterno alla camera di reazione e metodo di raffreddamento di un suscettore e di substrati
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) * 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN111316418B (zh) * 2017-11-02 2024-01-30 日本碍子株式会社 半导体制造装置用构件、其制法及成型模具
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN108718476A (zh) * 2018-08-15 2018-10-30 烟台海灵健康科技有限公司 一种安装等离子体降温装置的电弧等离子体发生器
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113758451B (zh) * 2020-06-04 2023-09-22 拓荆科技股份有限公司 平行板反应器中相对位置及平行状态的检测装置及方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
KR100252210B1 (ko) * 1996-12-24 2000-04-15 윤종용 반도체장치 제조용 건식식각장치
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
JP3654142B2 (ja) * 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
KR100397047B1 (ko) * 2001-05-08 2003-09-02 삼성전자주식회사 정전척의 냉각장치 및 방법
US7279068B2 (en) * 2003-12-15 2007-10-09 Texas Instruments Incorporated Temperature control assembly for use in etching processes
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8137465B1 (en) * 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7429718B2 (en) * 2005-08-02 2008-09-30 Applied Materials, Inc. Heating and cooling of substrate support
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160110218A (ko) * 2015-03-11 2016-09-21 에이에스엠 아이피 홀딩 비.브이. 기판 온도를 변경하기 위한 기판 트레이를 이용한 사전-세정 챔버 및 공정

Also Published As

Publication number Publication date
JP2008300832A (ja) 2008-12-11
CN101314847A (zh) 2008-12-03
US20080299326A1 (en) 2008-12-04

Similar Documents

Publication Publication Date Title
KR20080106041A (ko) 비금속 서셉터를 갖는 플라즈마 cvd 장치
US20210087680A1 (en) Susceptor having cooling device
CN108962714B (zh) 用于经改善的前驱物流的半导体处理腔室
KR0166973B1 (ko) 반도체 웨이퍼 처리장치 및 방법
JP4511722B2 (ja) 化学気相堆積用リアクタ
US10062587B2 (en) Pedestal with multi-zone temperature control and multiple purge capabilities
KR101522251B1 (ko) 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
US8183502B2 (en) Mounting table structure and heat treatment apparatus
KR100726381B1 (ko) 반도체 처리용 가스 분배 장치
JP5546552B2 (ja) 液体を制御された複数領域基板支持体による改良基板温度制御
US5675471A (en) Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US8485127B2 (en) Processing apparatus
US6635117B1 (en) Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR101411674B1 (ko) 포토레지스트 스트립 및 포스트 금속 식각 패시배이션을 위한 고온 챔버 공정 및 챔버 설계
JP2004534905A (ja) チタン化学気相堆積用リアクタ
US8555810B2 (en) Plasma dry etching apparatus having coupling ring with cooling and heating units
TW201522696A (zh) 使用遠端電漿cvd技術的低溫氮化矽膜
JP2011176365A (ja) 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
KR100385010B1 (ko) 받침대와베이스사이의개선된열전달방법
KR20180063345A (ko) 반도체 제조의 웨이퍼 처리를 위한 고 생산성 pecvd 툴
KR101014916B1 (ko) 단일 웨이퍼 챔버 내의 방사율 불변 펌핑 플레이트 키트
JP4583618B2 (ja) プラズマ処理装置
US6759633B2 (en) Heat treating device
KR102460313B1 (ko) 기판 처리 장치의 서셉터 및 기판 처리 장치
KR100597627B1 (ko) 플라즈마 반응 챔버

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid