CN101314847A - 具有非金属基座的等离子体cvd装置 - Google Patents
具有非金属基座的等离子体cvd装置 Download PDFInfo
- Publication number
- CN101314847A CN101314847A CNA2008100923707A CN200810092370A CN101314847A CN 101314847 A CN101314847 A CN 101314847A CN A2008100923707 A CNA2008100923707 A CN A2008100923707A CN 200810092370 A CN200810092370 A CN 200810092370A CN 101314847 A CN101314847 A CN 101314847A
- Authority
- CN
- China
- Prior art keywords
- plasma cvd
- cooling fluid
- pedestal
- shower plate
- cooling
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910052755 nonmetal Inorganic materials 0.000 title description 2
- 238000001816 cooling Methods 0.000 claims abstract description 45
- 239000000758 substrate Substances 0.000 claims abstract description 39
- 238000005268 plasma chemical vapour deposition Methods 0.000 claims abstract description 36
- 239000012809 cooling fluid Substances 0.000 claims abstract description 29
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 52
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 claims description 18
- 229910052782 aluminium Inorganic materials 0.000 claims description 15
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 14
- 239000004411 aluminium Substances 0.000 claims description 12
- 238000000034 method Methods 0.000 claims description 11
- 238000004519 manufacturing process Methods 0.000 claims description 8
- 239000007864 aqueous solution Substances 0.000 claims description 4
- 229910010293 ceramic material Inorganic materials 0.000 abstract 1
- 239000010408 film Substances 0.000 description 28
- 239000000498 cooling water Substances 0.000 description 23
- 239000000463 material Substances 0.000 description 20
- 229910052751 metal Inorganic materials 0.000 description 17
- 239000000919 ceramic Substances 0.000 description 14
- 238000010586 diagram Methods 0.000 description 14
- 239000002184 metal Substances 0.000 description 12
- 238000000151 deposition Methods 0.000 description 9
- 239000007769 metal material Substances 0.000 description 9
- VLKZOEOYAKHREP-UHFFFAOYSA-N n-Hexane Chemical compound CCCCCC VLKZOEOYAKHREP-UHFFFAOYSA-N 0.000 description 9
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 239000002826 coolant Substances 0.000 description 8
- 238000009413 insulation Methods 0.000 description 8
- 229910052710 silicon Inorganic materials 0.000 description 8
- 239000010703 silicon Substances 0.000 description 8
- 230000008901 benefit Effects 0.000 description 7
- 230000008021 deposition Effects 0.000 description 6
- 238000010438 heat treatment Methods 0.000 description 6
- 239000000376 reactant Substances 0.000 description 6
- 235000008733 Citrus aurantifolia Nutrition 0.000 description 5
- 235000011941 Tilia x europaea Nutrition 0.000 description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 239000004571 lime Substances 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 229910052804 chromium Inorganic materials 0.000 description 4
- 239000011651 chromium Substances 0.000 description 4
- 239000011248 coating agent Substances 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 238000011109 contamination Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000012530 fluid Substances 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 238000001095 inductively coupled plasma mass spectrometry Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 230000008569 process Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000005245 sintering Methods 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 238000013022 venting Methods 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- 208000034189 Sclerosis Diseases 0.000 description 1
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical compound [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 1
- 230000001195 anabolic effect Effects 0.000 description 1
- 238000009529 body temperature measurement Methods 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000007710 freezing Methods 0.000 description 1
- 230000008014 freezing Effects 0.000 description 1
- 230000004941 influx Effects 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 239000011572 manganese Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000000750 progressive effect Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68757—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
等离子体化学气相沉积(CVD)装置包括:冷却基座,用于在其上放置基底并作为电极使用;簇射极板,用于通过在其中形成的大量通孔向该基座引入气体。簇射极板作为电极使用,并与基座平行布置。冷却基座是由陶瓷材料制造的,其配有冷却流体通道,以便使冷却流体在其中流动。
Description
技术领域
[0001]本发明一般涉及等离子体化学气相沉积(CVD)装置,尤其是减少在基底上金属污染的等离子体CVD装置。
背景技术
[0002]图1是传统的等离子体CVD设备的示意图。借助传统的等离子体化学气相沉积法(等离子体CVD法),在1~10托的气氛里,在反应室1内的半导体基底上沉积薄膜,其中待处理的半导体基底5安置在被加热到0~350℃的电阻加热型的加热器3或者类似物上。加热器3以面向释放反应物气体的簇射极板2的方式放置,对簇射极板2施加13.56MHz至60MHz或者类似的射频(RF)功率,达到100~4000瓦特的输出水平,使加热器3和簇射极板2之间射频放电产生等离子体。加热器3起到下电极的作用,而簇射极板2起到上电极的作用。施加于簇射极板2的射频功率由射频发生器4通过射频输送口7提供。气体经过气体输送口6输送到反应室1,并从排气口8排出。基于这种结构,绝缘薄膜等可基于等离子体CVD法沉积。通常,上下电极主要是由铝制造的。
[0003]如果使用了金属材料,则发生金属元素污染,由此金属沉积在硅基底的上部和底部,破坏了绝缘层或者引起了其它问题,导致较低的设备产量。这是一个严重的问题,因此在设备拥有更精细结构的情况下,防止金属污染的要求变得愈加严格。就等离子体CVD来说,很多情况下,铝簇射极板与铝基座相结合而用作产生等离子体的电极。
发明内容
[0004]在使用加热基座(heating susceptor)的一些情况下,用于放置基底的表面的材料和基座的其它部分变为陶瓷等,来代替金属。但是,当针对节点(node)50nm左右的器件来沉积绝缘薄膜时,加热基座是不适当的。同样,金属制的簇射极板导致了其它的问题,例如很难完全的防止晶片表面上的金属污染。另外,组成反应器的许多元件也是金属制的,因此这些其它元件也需要仔细检查。对于这些元件的多数,在执行沉积工艺之前,可以通过在反应器的内壁涂敷叫做“预涂膜”的薄膜来防止金属污染。然而,由于预涂覆导致了生产率下降,沉积预涂膜提出了对设备的长期稳定性的关注。另一方面,缩小的器件节点正促使针对采用50nm左右的器件节点来沉积绝缘薄膜的方法。特别地,这一趋势可望带来布线图案和STI技术的重大变革,在预期的新情况下,沉积预涂膜将很可能遇到困难。因此,在需要绝缘薄膜适用于50nm左右的器件节点的条件下,在不依靠预涂膜的沉积时,防止金属污染对基底的负面作用很重要。
[0005]综上所述,在一个实施方案中,本发明提供了等离子体CVD装置,其包括:(i)冷却基座,用于在其上放置基底且与该基底接触,并且该冷却基座作为电极使用,所述冷却基座由陶瓷材料制造,其配有冷却流体通道,以便使冷却流体在其中流通;和(ii)簇射极板,用于通过在其中形成的大量通孔向所述基座引入气体,所述簇射极板作为电极用,并与基座平行布置。
[0006]上述实施方案可以进一步包括,但不局限于下列实施方案。
[0007]在任一上述实施方案中,基座另外可装配有嵌入该基座中的射频极板。
[0008]在任一上述实施方案中,冷却流体流动通道可提供在基座的底部。构成基座的陶瓷材料可以是AlN或Al2O3。
[0009]在任一上述实施方案中,簇射极板可由陶瓷材料制造。构成簇射极板的陶瓷材料是可以AlN或Al2O3。
[0010]在任一上述实施方案中,簇射极板可以具有凸出的中心区域。
[0011]在任一上述实施方案中,基座可以具有凹入的中心区域。
[0012]在任一上述实施方案中,等离子体CVD装置进一步包括冷却流体循环设备,其具有冷却流体的出口和冷却流体的入口,这两个都与基座的冷却流体流动通道相连接。该冷却流体循环设备进一步包括为含10-40%乙二醇的水溶液的冷却流体。
[0013]在任一上述实施方案中,基座可包含提升销(lift pin),各提升销都具有从基座的表面暴露的表面,其中至少所述表面是由陶瓷材料制造的。
[0014]在任一上述实施方案中,等离子体CVD装置进一步包含具有铝内壁的反应室,基座和簇射极板被平行地提供在该反应室中。
[0015]在另一方面,本发明提供了通过等离子体CVD在基底沉积薄膜的方法,其包括:(i)在反应室中提供权利要求1的等离子体CVD装置;(ii)控制基座的温度在-50℃到20℃;(iii)在基座的表面上放置基底;(iv)通过簇射极板向反应室引入气体,并对簇射极板施加射频功率;(v)在基底上沉积薄膜。
[0016]上述实施方案进一步包括,但不局限于下列的实施方案。
[0017]冷却流体流动通道被提供在基座的底部。
[0018]在任一上述实施方案中,控制基座温度的步骤可以包括使冷却流体循环经过冷却流体流动通道。
[0019]在任一上述实施方案中,冷却流体可以是含有10-40%乙二醇的水溶液。
[0020]为了总结本发明和超越相关技术而得到的优势,在本公开中描述了本发明的一些目标和优势。当然,应当理解,并非所有的这些目标或优势都可以按照本发明的任何具体实施方案来达到。因此,例如,本领域技术人员将意识到,本发明可以以实现或最优化如在本文所教导一个优势或者一组优势的方式被具体化或实施,而不必实现如可能在本文所教导或暗示的其它目标或优势。
[0021]根据以下对优选实施方案的详细描述,本发明的进一步的方面、特征和优势将变得显而易见。
附图说明
[0022]现在将参考优选实施方案的附图来描述本发明的这些和其它特征,所述优选的实施方案意欲阐述而不是限定本发明。为了说明性的目的,附图被过分简化而且不按照一定比例绘制。
[0023]图1是传统等离子体CVD装置的示意图。
[0024]图2是依照本发明一个实施方案的等离子体CVD装置的示意图。
[0025]图3是依照本发明一个实施方案的冷却基座的横截面图的示意图。
[0026]图4是依照本发明一个实施方案的簇射极板的横截面图的示意图。
[0027]图5是依照本发明一个实施方案的基座的横截面图的示意图(冷却介质流动通道未示出)。
[0028]图6是依照本发明一个实施方案的包含多个反应器和基座冷却设备的等离子体CVD装置的示意图。
[0029]图7是依照本发明一个实施方案的冷却基座的平面图的示意图。
[0030]所用符号的注释如下:1:反应室;2:上电极(簇射极板);3:下电极(基座);4:射频(RF)发生器;5:半导体基底;6:气体输送口;7:射频功率输送口;8:排气口;17:加热器;22:陶瓷簇射极板;24:陶瓷基座(冷却基座);31:射频电极;32:冷却介质流动通道;33:热电偶;34:接地棒;35:冷却水输送管;36:冷却水循环管;37:轴部分;38:基底支撑部分;41:射频极板;42:凸起区域;43:簇射极板;51:凹入区域;53:冷却基座;61:晶片盒;62:大气压自动装置;63:负载锁室;64:真空自动装置;65:冷却水循环管;66:冷却水循环设备;67:微区间;68:晶片转换室;69:冷却水输送管;70:闸门阀
具体实施方式
[0031]如上所述,当器件节点接近50nm水平时,布线图案和STI技术发生显著改变。尤其是采用存储器装置,低k材料绝缘薄膜的应用、铜配线的引入和其它方法被检验,目的是抑制RC迟滞。同样也需要减少PMD(金属前介电质)绝缘薄膜的介电常数,这里检验低k材料的应用是为了该目的。同时,基于STI的内置氧化膜也变得更狭小,当前所用的氧化膜的大小和纵横比分别是35-50nm的范围和大约1∶20。据报道,传统方法例如HDP-CVD(高密度等离子体CVD)和O3基CVD不再能完成充分的嵌入。因此,现在的设备需要薄膜提供良好的嵌入性能和精密尺寸,作为布线图案和STI必需的基本薄膜特性。现正研究关于在硅基底上形成流体反应物然后通过表面张力使反应物嵌入基底结构的技术。为了在硅基底上形成流体反应物,基座温度需要降低,因为只有当通过等离子体放电沉积的反应物再次液化时,这种反应物才可能形成。具体而言,基座温度可期望处于-50℃到20℃的范围,因为如果基座温度超过这个范围,则不能轻易地获得足够的嵌入性能。这里,对沉积的薄膜材料提供后处理,例如热处理或紫外线固化,使其硬化从而制成薄膜。在沉积阶段期间,材料保持液态,不以薄膜形式存在。
[0032]同样,通过冷却基座而沉积的绝缘薄膜也处于不完善状态,并因此是不结实的,这使其难以实现预涂覆。因为这个原因,在本发明的一个实施方案中,非金属材料被用于反应器内部的元件,以消除预涂覆的必要性。在本发明的一个实施方案中,为了防止硅基底的前面和后面会接触到金属材料的环境的产生,使用陶瓷例如AlN或Al2O3来构建簇射极板和/或冷却基座。
[0033]本发明将参考优选的实施方案和附图进行详细说明。但是所述优选的实施方案和附图不是意欲限定本发明。
[0034]图2是根据本发明一个实施方案的等离子体CVD装置的示意图(该附图被过分简化图,目的是说明)。在本实施方案中,下陶瓷电极24和上陶瓷电极22彼此平行放置在反应室1中。下陶瓷电极24是冷却基座也称作“冷却基座(cooling susceptor)”。这里,基座本身由陶瓷制成。同样在本实施方案中,基底直接放置在基座上,没有分离的部件或者由不同材料制成的另外的极板。在一个实施方案中,冷却基座使用的材料是AlN或Al2O3,冷却介质流动通道25直接在材料内部形成。应当理解,该流动通道可以通过在AlN或Al2O3材料里开孔以产生流动通道来制成,或者连接每一个都是用半个流动通道形成的两个AlN或Al2O3部分。因为冷却基座也作为下面的电极起作用,所以在陶瓷冷却基座中嵌入射频电极。
[0035]另一方面,在本实施方案中,上陶瓷电极22具有内部嵌入射频电极的陶瓷簇射极板。陶瓷簇射极板的顶端具有加热器17来控制簇射极板的温度。在一个实施方案中,加热器(未标出)还被构建到反应室的壁中,以控制反应室内部的温度。虽然本图所展示的装置的上下电极都是由陶瓷制成的,但在一个实施方案中只有下电极是由陶瓷制造的。
[0036]图3是根据本发明一个实施方案的冷却基座的横截面图的示意图。该基座包括基底支撑部分38和轴部分37组成,优选的是这两部分可用陶瓷来集成组成。在一个实施方案中,只有基底支撑部分38可由陶瓷制成。基底支撑部分38中(例如,离表面0.2到0.5mm的深度)嵌入金属制的(例如钨或钛)射频极板31,其中射频极板31是网状形状或类似形状,且厚度大约0.1到3mm。接地棒34与该射频极板31相连接来提供接地连接。基座支撑部分38也具有冷却水流动通道32(虽然冷却介质不限于水,但方便起见,可使用术语“冷却水流动通道”),并且该冷却水流动通道32与轴部分37中提供的冷却水输送管35和冷却水循环管36相连接,因此,在接近轴部分的位置,冷却介质从冷却水输送管35被供应到冷却水流动通道32,从而使冷却介质在基底支撑部分38内从里到外循环,然后最终返回到轴部分附近以从冷却水循环管36排出。顺便提及,图3中所示的冷却水流动通道的形状是近似的并且被过分简化。例如,在圆周方向,冷却水流动通道32可以具有涡旋形状或者Z字形行进或弯曲前进的形状,因此冷却介质将在基底支撑部分38内均匀地循环。基底支撑部分38的温度可以用嵌入陶瓷内的温度测量用热电偶33进行测量(例如,在靠近中心的位置,对应于基地支撑部分38的深度的中心)
[0037]作为参考,在本附图中,为了减少基底支撑表面上的不均匀温度,冷却水流动通道被提供在射频极板下面的基底支撑部分38的底部(底侧)。然而,在一个实施方案中,冷却水流动通道可以被提供在对应于基底支撑部分38深度的中心的位置处。在一个实施方案中,冷却水流动通道的截面尺寸为大约1到10cm2。尽管图3中的冷却水流动通道是正方形截面,但在一个实施方案中该截面可以是圆形或者椭圆形。
[0038]图7是根据本发明一个不同实施方案的冷却基座的平面图(从上面看)的示意图。冷却介质流动通道用虚线标识。提供该冷却介质流动通道72的方式如下,使得冷却介质从靠近中心提供的冷却介质入口73进入冷却基座,从中心向外沿大概涡旋形状流动,然后从最外面的部分75经过靠近中心提供的冷却介质出口74离开冷却基座。当本实施方案给出的基座被划分为附图上的四个部分时,除了左上部分,冷却介质通道保持恒定曲率。具体来说,第一流动通道72a、第二流动通道72b、第三流动通道72c和第四流动通道74d依此顺序由中心向外围排列,所有四个在各流动通道截面处具有恒定的曲率。但是,为了形成涡旋形状,在左上部分,流动通道包括直线部分(72a’、72b’、72c’)和弯曲部分。通过采用这一设计,提升销(lift pin)孔71可以被有效避免(不减少降低冷却效率)(并且温度测量用热电偶33也能有效避免)。流动通道可以在基座厚度方向上在一个水平面上提供,但在一个实施方案中,其也可以被构造成具有两个或更多水平面。
[0039]流动通道可以在陶瓷基座里直接形成,而不需使用任何单独的构件(例如,通过利用烧结构成基座的陶瓷之后将被去除的材料,形成对应于流动通道的部分,然后烧结该基座陶瓷,或者,通过铸造一个凹入的流动通道部件,然后将其连接到成为基底部分的单独形成的部件)。由于流动通道直接提供在陶瓷基座内,因此可以实现极好的热传导性,这又导致更高的冷却效率。此外,在本实施方案中,基座的基底支撑部分的表面仅使用陶瓷而无其他材料,这使基底支撑部分的表面(暴露于等离子体的表面)以借助冷却介质实现高热传导率的方式被有效地冷却。
[0040]在一个实施方案中,基底支撑部分38的厚度大约1.5到15厘米,它的直径大约33到40厘米。在一个实施方案中,轴部分37的直径大约是5到15厘米,而它的长度大约是15到40厘米。
[0041]在一个实施方案中,流经冷却水流动通道的冷却介质是大约20%到50%的水与乙醇和/或乙二醇的混合物(例如,用水稀释到50%或更低的浓度的乙醇溶液,或者用水稀释到60%或更低的浓度的乙二醇溶液)。但是,冷却介质不限于上述溶液,并且可以使用任何流体,只要其组分在低温下防止该流体冰冻同时提供高比热和高流度。冷却介质的浓度和材料可由多种因素决定,比如需要通过冷却降低的温度。在一个实施方案中,利用安装在反应室1外面的冷却水循环设备(例如随后在图6中解释的66)来实现冷却介质的冷却,因此使得对冷却介质温度的控制是可能的。通过利用冷却水循环设备控制冷却介质温度来实现温度控制。冷却到特定温度的冷却介质的流入速率如需要可通过监控温度测量用电偶33的温度进行调整(该温度在下文中称作“冷却基座温度”)。在一个方案中,冷却基座温度被调节到20℃或更低,比如-50℃到20℃的范围内的温度(包括-40℃、-30℃、-20℃、-10℃、0℃、10℃和前述的任何两个数值之间的值)。当进行冷却时,如上述进行控制的冷却介质恒定地流经流动通道来提供温度控制。
[0042]为了防止冷却基座周围沾湿,当打开和关闭反应器时,期望的是,冷却基座的温度保持在室温或高于室温(包括25℃、30℃、35℃、40℃、45℃和上述任意两个数值之间的值)。这可以容易地通过将冷却介质转换成加热的介质(比如温水)来实现。可选地,通过使用来自于反应器内壁中或者簇射极板中提供的加热器的辐射热,可以如上所述调整冷却基座温度。在一个实施方案中,一旦反应器向大气释放,期望地,温度设置可以被转换为加热模式。
[0043]在一个实施方案中,簇射极板也采用非金属材料,例如AlN或Al2O3,按照与冷却基座同样的方式。由于金属污染对位于发生等离子体放电的上下电极之间放置的硅基底具有重大影响,所以优选的措施是改变上下电极的材料为非金属材料,比如AlN或Al2O3,以显著的减少硅基底的上下面的金属污染。在金属污染研究中,在该研究中在执行上述措施后对硅基底的上下面的金属污染进行检查,对于所有金属元素,金属污染的水平是5.0×1010原子/cm2或者更小。如果上下电极是由铝制造的,取决于半导体器件的制造工艺,发生大约1.0×1012原子/cm2的铝污染,并且这将使得难以使用这样的装置。执行洗涤工艺来减少金属污染也成为必要的。基于等离子体CVD的很多工艺在金属配线周围使用。在本发明的一个实施方案中,金属污染显著减少,因此对于所有基底处理和配线处理而言,通过等离子体CVD的薄膜沉积变为可能。此外,在一个实施方案,在沉积过程之前不再需要预涂覆或者用于保护上下电极的任何其它传统的措施,这不仅提高了生产率而且减少了晶片加工的单位成本。
[0044]图4是根据本发明一个实施方案的陶瓷簇射极板43的横截面图的示意图。该陶瓷簇射极板具有嵌入其中的射频极板41。该射频极板的结构与嵌入陶瓷基座中的射频极板的结构可以基本相同。由于簇射极板有许多从中释放气体的孔(未图示),但是,射频极板可以以不妨碍这些孔的方式构造。陶瓷簇射极板具有加热器(图2中17),以使该簇射极板的温度被控制在大约50℃到250℃之间的范围内。在一个实施方案中,陶瓷簇射极板出气口处的厚度大约0.2到5厘米,而其直径(外周)大约30到50厘米。
[0045]在一个实施方案中,考虑到薄膜厚度的分布等,基座极板表面上有高度差。例如,图5提供了基座的横截面图的示意图(冷却水流动通道没有标出)。图5中,基座53(对于直径300mm的基底)具有逐渐凹入的区域51,其覆盖中心周围135mm到150.5mm的区域(或者直径270mm到301mm)。在一个实施方案中,该凹入区域对应于基底支撑表面(用于支撑基底的面积)的大约70%到95%(以相等的水平表面面积计)。在另一实施方案中,凹入区域实际上或大概与基底相同。图5中,凹入区域51向中心倾斜,在中心处的深度是0.5到2mm。在另一方案中,整个凹入区域是平坦的而且具有若干微凹,因此表面不能完全接触基底。在一个实施方案中,这些微凹的深度是40到80微米。
[0046]至于簇射极板,在一个实施方案中,薄膜厚度的分布以与基座相同的方式被控制,其是通过以圆形图案排列的孔的数量和表面上的高度差。例如,表面形状的示意图如图4所示。在图4中,在簇射极板表面上提供了逐渐凸起的区域42,以覆盖中心周围20000到69000mm2,其中该面积对应于簇射极板正面的大约25%到90%。在另一实施方案中,凸起区域所占面积对应于簇射极板正面的大约25%到90%(以相等的水平表面面积计)。在一个实施方案中,当从簇射极板的外围测量时,凸起区域的中心高度大约是0.5到0.6毫米。但是注意,很多情况下使用平的簇射极板作为标准规范。
[0047]如上所述,在与晶片相接触的区域使用非金属材料例如AlN和Al2O3以减少金属污染是有效的。当考虑到电极周围的气流时,用非金属材料制备上下电极也是有效的,因为上下电极之间的区域遭受到由等离子体反应产生的活性种类(active species)。如果上电极由金属材料制造,从上电极流下来的气体与该金属接触,这将导致金属污染的附着。因此,不仅下电极而且上电极应该由非金属材料制造。
[0048]通过等离子体CVD的薄膜沉积方法已经在传统装置的实例中进行了解释。具体而言,经过簇射极板引入反应器的气体与簇射极板和基座之间放电的等离子体发生反应,簇射极板和基座分别构成上电极和下电极,并且反应物沉积在硅基底上。其后,气体通过排气极板(exhaust plate)引导流入排气线路,前行通过干燥泵,并最终排出。通过控制在冷却基座内流动的冷却介质,对冷却基座制冷。通过基座内安装的热电偶检测基座极板的温度。通过经由流动通道引入由冷却水循环设备控制的冷却介质进入基座来实现温度控制。
[0049]图6是根据本发明一个实施方案的等离子体CVD装置的示意图。在该图中,三个反应室1通过闸门阀70与基底转移室68相连。两个负载锁室63也与基底转移室68相连。负载锁室63经微区域67与基底盒61相连。通过位于微区域67中的气体自动装置62将基底从基底盒61转移进负载锁室63,然后通过位于基底转移室68中的真空自动装置64进一步转移进入加工基底的各反应室1中。冷却基座24安装在反应室1中,并且冷却水循环设备66与该冷却基座24相连,使得冷却介质从冷却水循环设备66供应穿过冷却介质输送管69,在散热基座内进行循环,最后经过冷却介质循环管65返回冷却水循环设备66。
[0050]作为参考,尽管AlN和Al2O3主要用作陶瓷材料,然而在一个实施方案中也可使用BN和其它的材料。
[0051]在未规定条件和/或结构的本发明的公开中,鉴于本公开,根据常规实验,本领域的技术人员可以容易的提供这样的条件和/或结构。
[0052]同样,本发明中,应用于实施方案中的数值在其他实施方案中可修正±50%,在实施方案的应用范围可以包括或者排除端值。
[0053]实施例
[0054]本发明将参考实施例来说明。但是,所述实施例并非意图限定本发明。在实施例中,除了实施例中指定的基座和簇射极板,使用Eagle10(ASM日本)作为等离子体CVD装置。
[0055]传统实例
[0056]这里使用的基座、簇射极板和薄膜沉积条件如下:
[0057]簇射极板材料:铝
[0058]基座材料:铝
[0059]基座温度:0℃
[0060]簇射极板温度:100℃
[0061]反应器侧壁温度:100℃
[0062]DM-DMOS流速:25sccm
[0063]己烷流速:80sccm
[0064]He流速:630sccm
[0065]O2流速:100sccm
[0066]反应器压力:400Pa
[0067]放电间距:20mm
[0068]作为在上述条件下操作的结果,检测到下列水平的金属污染(基于ICP-MS评估)。作为参考,各元素的标准是5×1010原子/cm2或更小。“其他的”表示金属元素如镍和锰的总和。
[0069]铝:1×1013原子/cm2
[0070]钛:3×1011原子/cm2
[0071]铬:8.5×1010原子/cm2
[0072]其他的:5×1010原子/cm2或更小
[0073]如上所述,尽管其他金属元素的金属污染水平在标准左右,但是铝、钛和铬的金属污染水平都超过了该标准,证实了金属污染。这里,假定如此检测的金属是由于上电极和下电极。
[0074]实施例1
[0075]这里使用的基座、簇射极板和薄膜沉积条件如下:
[0076]簇射极板材料:AlN
[0077]冷却基座材料:AlN
[0078]基座温度:0℃
[0079]簇射极板温度:100℃
[0080]反应器侧壁温度:100℃
[0081]DM-DMOS流速:25sccm
[0082]己烷流速:80sccm
[0083]He流速:630sccm
[0084]O2流速:100sccm
[0085]反应器压力:266Pa
[0086]放电间距:20mm
[0087]作为在上述条件下操作的结果,检测到下列水平的金属污染(基于ICP-MS评估)。作为参考,各元素的标准是5×1010原子/cm2或更小。“其他的”表示金属元素如铁、铬、钛和镍的总和。
[0088]铝:4.5×1010原子/cm2
[0089]其他的:5×1010原子/cm2或更小
[0090]如上所述,金属污染水平有了很大的改进。尤其是,铝污染减少了3个数量级。
[0091]实施例2
[0092]这里使用的基座、簇射极板和薄膜沉积条件如下:
[0093]簇射极板材料:AlN
[0094]冷却基座材料:AlN
[0095]基座温度:0℃
[0096]簇射极板温度:100℃
[0097]反应器侧壁温度:100℃
[0098]DM-DMOS流速:25sccm
[0099]己烷流速:80sccm
[0100]He流速:830sccm
[0101]O2流速:100sccm
[0102]反应器压力:800Pa
[0103]放电间距:20mm
[0104]作为在上述条件下操作的结果,检测到下列水平的金属污染(基于ICP-MS评估)。作为参考,各元素的标准是5×1010原子/cm2或更小。“其他的”表示金属元素如钛和铬的总和。
[0105]铝:3×1010原子/cm2
[0106]其他的:5×1010原子/cm2或更小
[0107]如上所述,金属污染水平有了显著的改进。尤其是,铝污染减少了3个数量级。
[0108]本领域技术人员应当理解,可以大量的各种各样的修改,而没有背离本发明的精神。因此,应当清楚地理解,本发明的形式仅仅是说明性的,并非意图来限定本发明的范围。
Claims (16)
1.等离子体CVD装置,包括:
冷却基座,用于在其上放置基底且与该基底接触,并且所述冷却基座作为电极使用,所述冷却基座由陶瓷材料制造,其配有冷却流体通道,以便使冷却流体在其中流通;和
簇射极板,用于通过在其中形成的大量通孔向所述基座引入气体,所述簇射极板作为电极使用,并与所述基座平行布置。
2.根据权利要求1所述的等离子体CVD装置,其中所述基座进一步配有嵌入该基座中的射频极板。
3.根据权利要求1所述的等离子体CVD装置,其中所述簇射极板由陶瓷材料制造。
4.根据权利要求1所述的等离子体CVD装置,其中所述冷却流体流动通道被提供在所述基座的底部。
5.根据权利要求1所述的等离子体CVD装置,其中构成所述基座的所述陶瓷材料是AlN或Al2O3。
6.根据权利要求3所述的等离子体CVD装置,其中构成所述簇射极板的所述陶瓷材料是AlN或Al2O3。
7.根据权利要求1所述的等离子体CVD装置,其中所述簇射极板具有凸起的中心区域。
8.根据权利要求1所述的等离子体CVD装置,其中所述基座具有凹入的中心区域。
9.根据权利要求1所述的等离子体CVD装置,进一步包括具有冷却流体出口和冷却流体入口的冷却流体循环设备,所述冷却流体出口和冷却流体入口都与所述基座的所述冷却流体流动通道相连。
10.根据权利要求9所述的等离子体CVD装置,其中所述冷却流体循环设备进一步冷却流体,该冷却流体是包含10-40%的乙二醇的水溶液。
11.根据权利要求1所述的等离子体CVD装置,其中所述基座含有提升销,所述提升销各具有从所述基座的表面暴露的表面,其中至少所述表面由陶瓷材料制造。
12.根据权利要求1所述的等离子体CVD装置,进一步包含具有铝内壁的反应室,在所述反应室里所述基座和所述簇射极板被平行地提供。
13.一种通过等离子体CVD在基底上沉积薄膜的方法,其包括:
在反应室里提供权利要求1所述的等离子体CVD装置;
控制所述基座的温度在-50℃到20℃;
在所述基座的表面上放置基底;
经过所述簇射极板向所述反应室里引入气体,并对所述簇射极板施加射频功率;
在所述基底上沉积薄膜。
14.根据权利要求13所述的方法,其中冷却流体流动通道被提供在所述基座的底部。
15.根据权利要求14所述的方法,其中控制所述基座温度的步骤包括使冷却流体循环经过所述冷却流体流动通道。
16.根据权利要求15所述的方法,其中所述冷却流体是含有10-40%乙二醇的水溶液。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/755,491 | 2007-05-30 | ||
US11/755,491 US20080299326A1 (en) | 2007-05-30 | 2007-05-30 | Plasma cvd apparatus having non-metal susceptor |
Publications (1)
Publication Number | Publication Date |
---|---|
CN101314847A true CN101314847A (zh) | 2008-12-03 |
Family
ID=40088579
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA2008100923707A Pending CN101314847A (zh) | 2007-05-30 | 2008-04-24 | 具有非金属基座的等离子体cvd装置 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20080299326A1 (zh) |
JP (1) | JP2008300832A (zh) |
KR (1) | KR20080106041A (zh) |
CN (1) | CN101314847A (zh) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102741975A (zh) * | 2010-04-30 | 2012-10-17 | 应用材料公司 | 双腔室处理系统 |
CN103369810A (zh) * | 2012-03-31 | 2013-10-23 | 中微半导体设备(上海)有限公司 | 一种等离子反应器 |
CN105513958A (zh) * | 2015-12-25 | 2016-04-20 | 武汉华星光电技术有限公司 | 一种蚀刻设备及反应槽装置 |
CN108718476A (zh) * | 2018-08-15 | 2018-10-30 | 烟台海灵健康科技有限公司 | 一种安装等离子体降温装置的电弧等离子体发生器 |
CN109423624A (zh) * | 2017-08-31 | 2019-03-05 | Asm知识产权私人控股有限公司 | 冷却装置、气体供应装置及衬底处理设备 |
CN109844175A (zh) * | 2016-10-05 | 2019-06-04 | 洛佩诗公司 | 具有在反应室外部的反射器的外延沉积反应器以及冷却衬托器和基底的方法 |
CN110571180A (zh) * | 2015-10-05 | 2019-12-13 | 朗姆研究公司 | 具有集成的温度测量电气装置的衬底保持器 |
CN113758451A (zh) * | 2020-06-04 | 2021-12-07 | 拓荆科技股份有限公司 | 一种平行板反应器中相对位置及平行状态检测的装置及检测方法 |
Families Citing this family (346)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8707754B2 (en) * | 2010-04-30 | 2014-04-29 | Applied Materials, Inc. | Methods and apparatus for calibrating flow controllers in substrate processing systems |
DE102010027224A1 (de) * | 2010-07-15 | 2012-01-19 | Forschungszentrum Jülich GmbH | Elektrode zur Erzeugung eines Plasmas, Plasmakammer mit dieser Elektrode und Verfahren zur in situ-Analyse oder -in situ-Bearbeitung einer Schicht oder des Plasmas |
WO2012122054A2 (en) * | 2011-03-04 | 2012-09-13 | Novellus Systems, Inc. | Hybrid ceramic showerhead |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9315899B2 (en) | 2012-06-15 | 2016-04-19 | Novellus Systems, Inc. | Contoured showerhead for improved plasma shaping and control |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US9353441B2 (en) | 2012-10-05 | 2016-05-31 | Asm Ip Holding B.V. | Heating/cooling pedestal for semiconductor-processing apparatus |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9293303B2 (en) * | 2013-08-30 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low contamination chamber for surface activation |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP6320812B2 (ja) * | 2014-03-19 | 2018-05-09 | 株式会社東芝 | 圧力センサの製造方法、成膜装置及び熱処理装置 |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10373850B2 (en) * | 2015-03-11 | 2019-08-06 | Asm Ip Holding B.V. | Pre-clean chamber and process with substrate tray for changing substrate temperature |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
JP6565502B2 (ja) * | 2015-09-03 | 2019-08-28 | 株式会社島津製作所 | 成膜装置及び成膜方法 |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
KR20180112794A (ko) * | 2016-01-22 | 2018-10-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 전도성 층들이 매립된 세라믹 샤워헤드 |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
JP2017199851A (ja) * | 2016-04-28 | 2017-11-02 | 株式会社ディスコ | 減圧処理装置 |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102373076B1 (ko) * | 2017-11-02 | 2022-03-11 | 엔지케이 인슐레이터 엘티디 | 반도체 제조 장치용 부재, 그 제조법 및 성형형 |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI779134B (zh) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | 用於儲存晶圓匣的儲存裝置及批爐總成 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
TW202129068A (zh) | 2020-01-20 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 形成薄膜之方法及修飾薄膜表面之方法 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
KR100252210B1 (ko) * | 1996-12-24 | 2000-04-15 | 윤종용 | 반도체장치 제조용 건식식각장치 |
JP3595853B2 (ja) * | 1999-03-18 | 2004-12-02 | 日本エー・エス・エム株式会社 | プラズマcvd成膜装置 |
US6740853B1 (en) * | 1999-09-29 | 2004-05-25 | Tokyo Electron Limited | Multi-zone resistance heater |
JP4209057B2 (ja) * | 1999-12-01 | 2009-01-14 | 東京エレクトロン株式会社 | セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法 |
JP3654142B2 (ja) * | 2000-01-20 | 2005-06-02 | 住友電気工業株式会社 | 半導体製造装置用ガスシャワー体 |
KR100397047B1 (ko) * | 2001-05-08 | 2003-09-02 | 삼성전자주식회사 | 정전척의 냉각장치 및 방법 |
US7279068B2 (en) * | 2003-12-15 | 2007-10-09 | Texas Instruments Incorporated | Temperature control assembly for use in etching processes |
US20060005771A1 (en) * | 2004-07-12 | 2006-01-12 | Applied Materials, Inc. | Apparatus and method of shaping profiles of large-area PECVD electrodes |
US8137465B1 (en) * | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US7429718B2 (en) * | 2005-08-02 | 2008-09-30 | Applied Materials, Inc. | Heating and cooling of substrate support |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
-
2007
- 2007-05-30 US US11/755,491 patent/US20080299326A1/en not_active Abandoned
-
2008
- 2008-04-24 CN CNA2008100923707A patent/CN101314847A/zh active Pending
- 2008-05-27 JP JP2008137550A patent/JP2008300832A/ja active Pending
- 2008-05-28 KR KR1020080049749A patent/KR20080106041A/ko not_active Application Discontinuation
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102741975A (zh) * | 2010-04-30 | 2012-10-17 | 应用材料公司 | 双腔室处理系统 |
CN102741975B (zh) * | 2010-04-30 | 2015-12-02 | 应用材料公司 | 双腔室处理系统 |
CN103369810A (zh) * | 2012-03-31 | 2013-10-23 | 中微半导体设备(上海)有限公司 | 一种等离子反应器 |
CN110571180A (zh) * | 2015-10-05 | 2019-12-13 | 朗姆研究公司 | 具有集成的温度测量电气装置的衬底保持器 |
CN110571180B (zh) * | 2015-10-05 | 2023-08-04 | 朗姆研究公司 | 具有集成的温度测量电气装置的衬底保持器 |
CN105513958A (zh) * | 2015-12-25 | 2016-04-20 | 武汉华星光电技术有限公司 | 一种蚀刻设备及反应槽装置 |
CN109844175A (zh) * | 2016-10-05 | 2019-06-04 | 洛佩诗公司 | 具有在反应室外部的反射器的外延沉积反应器以及冷却衬托器和基底的方法 |
CN109423624A (zh) * | 2017-08-31 | 2019-03-05 | Asm知识产权私人控股有限公司 | 冷却装置、气体供应装置及衬底处理设备 |
CN109423624B (zh) * | 2017-08-31 | 2021-01-05 | Asm知识产权私人控股有限公司 | 冷却装置、气体供应装置及衬底处理设备 |
CN108718476A (zh) * | 2018-08-15 | 2018-10-30 | 烟台海灵健康科技有限公司 | 一种安装等离子体降温装置的电弧等离子体发生器 |
CN113758451A (zh) * | 2020-06-04 | 2021-12-07 | 拓荆科技股份有限公司 | 一种平行板反应器中相对位置及平行状态检测的装置及检测方法 |
CN113758451B (zh) * | 2020-06-04 | 2023-09-22 | 拓荆科技股份有限公司 | 平行板反应器中相对位置及平行状态的检测装置及方法 |
Also Published As
Publication number | Publication date |
---|---|
US20080299326A1 (en) | 2008-12-04 |
KR20080106041A (ko) | 2008-12-04 |
JP2008300832A (ja) | 2008-12-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101314847A (zh) | 具有非金属基座的等离子体cvd装置 | |
US6951587B1 (en) | Ceramic heater system and substrate processing apparatus having the same installed therein | |
CN105659366B (zh) | 使用远程等离子体cvd技术的低温氮化硅膜 | |
US20080092812A1 (en) | Methods and Apparatuses for Depositing Uniform Layers | |
TWI548774B (zh) | Cvd反應器之進氣機構 | |
KR200465330Y1 (ko) | 기판 지지체의 가열 및 냉각 | |
US20050124169A1 (en) | Truncated dummy plate for process furnace | |
KR101313524B1 (ko) | 성막 장치와 성막 방법 | |
US9518322B2 (en) | Film formation apparatus and film formation method | |
JP2008227487A (ja) | 放射加熱を具備するマイクロバッチ堆積チャンバ | |
JP2008034780A (ja) | エピタキシャルSiC膜付き半導体SiC基板の製造方法およびそのエピタキシャルSiC成膜装置 | |
TWI828737B (zh) | 用於提供多種材料至處理腔室的噴淋頭 | |
CN105870039A (zh) | 用于等离子体处理的双区式加热器 | |
CN109891606A (zh) | 用于处理部件的装置 | |
KR20110096348A (ko) | 건식식각장치 및 이를 구비한 기판처리시스템 | |
TWI742537B (zh) | 用以防止hdp-cvd腔室發弧的先進塗層方法及材料 | |
US20210388495A1 (en) | Asymmetric exhaust pumping plate design for a semiconductor processing chamber | |
TW202114040A (zh) | 載置台及基板處理裝置 | |
US20110217852A1 (en) | Substrate processing apparatus and method of manufacturing semiconductor device | |
US20220199373A1 (en) | Methods to eliminate of deposition on wafer bevel and backside | |
US11961739B2 (en) | Boron concentration tunability in boron-silicon films | |
JP6058491B2 (ja) | 気相成長用反応装置 | |
CN219297700U (zh) | 一种外延生长设备及外延生长设备系统 | |
KR100596503B1 (ko) | 기판 가열로 및 이를 포함하는 화학 기상 증착 장치 | |
US11594409B2 (en) | Systems and methods for depositing low-k dielectric films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |
Open date: 20081203 |