TWI742537B - 用以防止hdp-cvd腔室發弧的先進塗層方法及材料 - Google Patents

用以防止hdp-cvd腔室發弧的先進塗層方法及材料 Download PDF

Info

Publication number
TWI742537B
TWI742537B TW109105624A TW109105624A TWI742537B TW I742537 B TWI742537 B TW I742537B TW 109105624 A TW109105624 A TW 109105624A TW 109105624 A TW109105624 A TW 109105624A TW I742537 B TWI742537 B TW I742537B
Authority
TW
Taiwan
Prior art keywords
gas
processing chamber
precursor
annular
chamber
Prior art date
Application number
TW109105624A
Other languages
English (en)
Other versions
TW202035770A (zh
Inventor
林 張
路雪松
安德魯V 樂
政碩 吳
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202035770A publication Critical patent/TW202035770A/zh
Application granted granted Critical
Publication of TWI742537B publication Critical patent/TWI742537B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32394Treating interior parts of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring

Abstract

本文中所述的實施例係關於用以減少腔室發弧(例如在HDP-CVD、PECVD、PE-ALD及蝕刻腔室中)的裝置及塗層方法。該裝置包括用於塗層材料之原位沉積的環形氣體分佈器,及包括相同物的處理腔室。該環形氣體分佈器包括一環形主體,該環形主體具有安置於該環形主體之一第一側上的至少一個氣體入口及安置在該環形主體之一第一表面上的複數個氣體分佈口。該複數個氣體分佈口被佈置在複數個均勻分佈的行中。該複數個均勻分佈的行之一第一行中的該複數個氣體分佈口被調適為以一出口角引導氣體,該出口角不同於該複數個均勻分佈的行之一第二行中之該複數個氣體分佈口的一出口角。

Description

用以防止HDP-CVD腔室發弧的先進塗層方法及材料
本揭示案的實施例大體而言係關於用以減少HDP-CVD腔室發弧的裝置及塗層方法。
高密度電漿化學氣相沉積(HDP-CVD)採用電感耦合電漿源以供在低真空壓力下產生較高密度的電漿。較高密度的電漿造成優越的間隙填充效能、較低溫度下的高品質薄膜沉積、高產量及簡單的操作特性。HDP-CVD的反應器設計及處理技術允許針對廣泛的應用沉積未經摻雜及經摻雜薄膜兩者,包括淺溝隔離(STI)、預金屬介電層(PMD)、層間介電(ILD)層、金屬間介電(IMD)層及鈍化。因此,HDP-CVD被廣泛地用於半導體工業。
由於低處理壓力,HDP-CVD腔室中所報告之關鍵問題中的一者為腔室發弧。發弧發生在尖銳點處之高度集中的E場造成介電質在金屬腔室壁、氣體環及陰極主體處崩潰的時候。高放電電流(其發生在小區域上)蒸發受暴露的金屬且汙染基板表面,造成處理的產量減少。
已提出各種技術來減少腔室發弧。例如,外部塗層方法可用在新的腔室上(預安裝)。然而,必須在預安裝塗層在運輸、安裝或維護期間破裂時或塗層變得太薄時重新施用塗層。可在腔室清潔之後在乾燥操作期間在金屬腔室表面上沉積乾燥層,以緩解發弧。然而,傳統的乾燥層在腔室元件上提供不充足的覆蓋範圍,且因此,腔室發弧問題仍然存在。
因此,存在改良裝置及塗層方法以減少HDP-CVD腔室發弧的需要。
在一個實施方式中,揭示了一種環形氣體分佈器。該環形氣體分佈器包括一環形主體,該環形主體具有安置於該環形主體之一第一側上的至少一個氣體入口及安置在該環形主體之一第一表面上的複數個氣體分佈口。該複數個氣體分佈口被佈置在複數個均勻分佈的行中。該複數個均勻分佈的行之一第一行中的該複數個氣體分佈口的一第一氣體分佈口被調適為以一出口角引導氣體,該出口角不同於該複數個均勻分佈的行之該第一行中之該複數個氣體分佈口的一第二氣體分佈口的一出口角。
在另一實施方式中,揭示了一種處理腔室。該處理腔室包括:一腔室主體及安置在該腔室主體上的一拱蓋組件;一基板支架,安置在該腔室主體中;一氣體入口,安裝在該拱蓋組件上;及一環形氣體分佈器。該環形氣體分佈器包括一環形主體及安置在該環形主體之一第一表面上的複數個氣體分佈口。
在又另一實施方式中,揭示了一種方法。該方法包括以下步驟:經由一第一氣體入口將一第一母 材流進一處理腔室;離子化該第一母材;經由一環形主體將一第二母材流進該處理腔室,該環形主體被調適為 分佈該第二母材,該第二母材不同於該第一母材;離子化 該第二母材;及在該處理腔室的一內表面上從該經離子化第二母材沉積一乾燥材料。
本文中所述的實施例係關於用以減少腔室發弧(例如在HDP-CVD、PECVD、PE-ALD及蝕刻腔室中)的裝置及塗層方法。該裝置包括適用於塗層材料之原位沉積的環形氣體分佈器,及包括相同物的處理腔室。環形氣體分佈器可包括一或更多個氣體入口及複數個氣體分佈口。環形氣體分佈器可定位在處理腔室(例如電漿強化的化學氣相沉積(PECVD)腔室)的下部分中,以向處理腔室的下部分提供母材氣體以供沉積乾燥材料。本文中所述的實施例亦包括用於使處理腔室乾燥的方法。該方法包括以下步驟:經由第一氣體入口將第一母材流進處理腔室,及經由環形氣體分佈器將乾燥母材流進處理腔室。該第一及第二母材可被離子化及反應以在處理腔室中沉積乾燥材料。
圖1為依據本文中所述之一個實施例之處理腔室100的示意圖。在一個實例中,處理腔室100可為高密度電漿化學氣相沉積(HDP-CVD)系統。處理腔室100包括腔室主體116、基板支架106及拱蓋組件115,該拱蓋組件包括拱頂102、電感組件112及溫度控制板110。拱頂102定位在腔室主體116上。拱頂102及腔室主體116界定處理腔室100的內部容積。基板支架106定位在處理腔室100的內部容積內,且在處理期間將基板190支撐於其上。基板支臂108耦合至基板支架106,以促進基板支架106的支撐及致動。致動器134經由伸縮管136耦合至基板支臂108。致動器134垂直致動基板支臂108及耦合至該基板支臂的基板支架106,以促進在基板傳輸處理期間在基板傳輸自動機(未圖示)及基板支架106之間進行基板傳輸。
拱頂102界定了定位在處理腔室100內之電漿處理區域104的上邊界。拱頂102可以陶瓷介電材料製造,例如鋁、氧化鋁或氮化鋁。電漿處理區域104的下邊界由基板支架106的上表面所界定。在處理期間,可在電漿處理區域104內產生電漿或其他離子化氣體以促進基板190的處理。例如,可在電漿處理區域104中產生電漿,以在HDP-CVD、電漿強化的化學氣相沉積(PECVD)或電漿強化的原子層沉積(PE-ALD)處理中將材料沉積在基板190上。
包括一或更多個電感線圈114的電感組件112定位在拱頂102上,以促進電漿處理區域104中的電漿產生。電源(未圖示)可耦合至電感組件112,以向該一或更多個電感線圈114提供電力。在操作期間,該複數個電感線圈114可向定位在電漿處理區域104內的一或更多個母材或處理氣體提供射頻(RF)電力,以離子化母材或處理氣體。在一個實例中,該複數個電感線圈114可以額定2 MHz提供高達5,000瓦的RF電力。該複數個電感線圈114的操作頻率可徧移自額定操作頻率以改良電漿產生效率。溫度控制板110定位在電感組件112上,且經由電感組件112熱耦合至拱頂102。溫 度控制板110允許將拱頂溫度控制在約100°C至200°C之範圍上的約± 10°C內。溫度控制板110促進針對各種處理最佳化拱頂溫度。在一個實例中,液態冷卻劑可流過電感線圈114,以除了由溫度控制板110所提供的溫度控制之外或作為該溫度控制的替代方案,促進冷卻電感線圈。
處理腔室100包括被調適為儲存在處理(例如HDP-CVD、PECVD或PEALD處理)期間所利用之一或更多個母材或處理氣體的氣體板130。可經由一或更多個氣體入口128(兩者被圖示為128a、128b)將母材或處理氣體引入電漿處理區域104,該等氣體入口被安裝至拱蓋組件115。該一或更多個氣體入口128a、128b經由一或更多個氣體供應線路132(圖示其中一者)耦合至氣體板130。在一個實施例中,該一或更多個氣體入口128可被配置為單一氣環。在另一實施例中,該一或更多個氣體入口128可被配置為頂部擋板。可藉由泵送系統122從處理腔室100排出處理氣體(例如處理期間所使用的母材氣體或其他氣體,或其經反應的副產物)。泵送系統122接合至處理腔室100的下部分,且包括節流閥124及泵126。節流閥124將泵126耦合至腔室主體116。可啟動節流閥124以藉由限制經由泵126離開處理腔室100之排氣流的速率來控制腔室壓力。
環形氣體分佈器118定位在節流閥124及基板支架106間之腔室主體116的下部分中。環形氣體分佈器118包括具有一或更多個氣體入口及氣體分佈口的中空管狀環,以在處理腔室100的下部分中分佈處理或母材氣體。環形氣體分佈器118可藉由附接件120附接至腔室主體116的內表面105。附接件120可為彈簧加壓的夾具、托架、固定器等等。從第二氣體板140經由氣體供應線路138向環形氣體分佈器118供應母材或處理氣體。可經由基板支臂108附近的伸縮管136路由氣體供應線路138。或者,可經由氣體入口128a、128b路由氣體供應線路138。
從第二氣體板140向環形氣體分佈器118供應處理氣體(例如處理期間所使用的母材氣體或其他氣體),該處理氣體被分佈在處理腔室100的下部分中,以促進在腔室主體116的內表面105上形成乾燥層。環形氣體分佈器118被調適為將氣體均勻分佈進處理腔室100的下部分,以相較於傳統方法形成更均勻的乾燥層,因此減少了不理想發弧的可能性。環形氣體分佈器118可具有小於腔室主體116之內表面105之直徑的直徑。在一個實例中,環形氣體分佈器可具有小於內表面105的直徑約3個百分比至約20個百分比的直徑。環形氣體分佈器118可以金屬、金屬合金或陶瓷材料製造。在一個實施例中,環形氣體分佈器118以相同於腔室主體116的材料製造,以便防止金屬汙染。在另一實施例中,環形氣體分佈器118可以氧化鋁製造。在另一實施例中,環形氣體分佈器118可以氮化鋁製造。在又另一實施例中,環形氣體分佈器118可以鋁製造。
圖2為環形氣體分佈器118的示意圖。環形氣體分佈器118為包括中空環形主體250的環形氣體分佈器,該中空環形主體具有安置在其相反側上的兩個氣體入口254a及254b及安置在環形主體250之第一表面上的複數個氣體分佈口253。在一個實例中,環形主體250的上半部可包括氣體分佈口253以向上引導氣體,以促進如圖1中所示之處理腔室100之內表面105的乾燥。在一個實施例中,該複數個氣體分佈口253中的各者在直徑上為約0.5毫米(mm)至約3 mm,例如在直徑上為約1mm。
該複數個氣體分佈口253可被佈置在複數個行252a、252b(標示其中兩者)中。行252a可表示第一行,而行252b可表示第二行。可均勻地將複數個行分佈在主體250周緣周圍的同心圓中。已在行252a及252b的接口253之間包括線以圖示同心圓中之行的分佈。亦可徑向地將包括各行的接口253與主體250的中心線對準。第一行252a中之該複數個氣體分佈口253被定位為以不同於相鄰行(例如第二行252b)之出口角的角度引導離開的氣體。換言之,該複數個氣體分佈口252的各行被調適為相較於相鄰行以不同角度引導離開的氣體。在另一實例中,特定行的氣體分佈口253可被群集成三聯體,其中三聯體的各氣體分佈口具有不同的氣體出口角。可在單一行(例如行252a或行252b)中針對各個氣體分佈口253的三聯體重複三個不同的角度。可藉由氣體分佈設備218之第一表面的直徑來控制相鄰行252a、252b間或相鄰氣體分佈口253間之出口角上的變化。出口角的相對差可在約15度及約30度之間。在一個實施例中,該複數個氣體分佈口253之各氣體分佈口253之間的間隔是在約1公分(cm)及約3 cm之間,例如約1 cm。
儘管圖2繪示環形氣體分佈器118的一個實施例,亦設想其他實施例。在另一實施例中,環形氣體分佈器118可包括多於或少於兩個氣體入口254a、254b。在此實例中,可在環形氣體分佈器118的外周緣周圍以均勻的間隔定位氣體入口。此外,設想的是,可不均勻地分佈氣體分佈口253以影響處理腔室100內的氣流。並且,亦可不均勻地分佈相鄰行252a、252b。不均勻的分佈可補償處理腔室100的不對稱特徵或處理腔室100內之氣流輪廓上的差異。並且,儘管氣體分佈器118被繪示為具有環形主體,設想的是,可利用其他形狀(例如橢圓形)來影響處理腔室內的氣流。
圖3繪示依據本文中所述之一個實施例之方法360的流程圖。為了促進了解本揭示案的實施例,將結合圖1來論述圖3。方法360可用以在處理腔室100的內表面105上沉積乾燥材料。方法360開始於操作362處。於操作362處,經由氣體入口128a或128b中的 一者或兩者將處理氣體(例如第一母材)引進處理腔室 100。第一母材可為氧母材或氮母材。示例性的第一母材包括(但不限於)水(H2 O)、臭氧(O3 )、氧(O2)、氮(N2 )及氨(NH3 )。於操作364處離子化第一母材。被離子化的第一母材在處理腔室100的內表面105上形成單分子層。
於操作366處,經由環形氣體分佈器118將第二母材引進處理腔室100。受引入的環形氣體分佈器118將母材引導於一腔室部分上,其中若僅經由安置於基板支架106上方的氣體入口128a、128b引入第二母材,則該腔室部分通常是不可到達或不被充分到達的。第二母材材料可為含鋁母材或任何其他含金屬的母材。示例性第二母材包括(但不限於)三甲鋁(TMA)或氯化鋁(AlCl3 )。在操作368中離子化第二母材。
於操作370處,被離子化的第二母材被內表面105上所形成之第一母材的單分子層吸收或與該單分子層反應。第二單分子層的吸收或反應造成在處理腔室100之腔室主體116的內表面105上形成乾燥材料。乾燥材料可包括具有以下特性中之一或更多者的任何介電材料:(1)高崩潰電壓,例如多於7 MV/cm;(2)耐受於氟(F)自由基;(3)涉及最少的微粒汙染;或(4)涉及最少的金屬汙染。在一個實施例中,乾燥材料為氧化鋁(Al2 O3 )。在另一實施例中,乾燥材料為氮化鋁(AlN)。可將乾燥材料沉積至防止發弧的厚度,例如在約0.1微米至約10微米之間,例如約1微米至約3微米。在一個實例中,方法360可為ALD處理,而可以每沉積循環約5埃至約10埃的厚度沉積乾燥材料。在此實例中,重複操作362-370直到形成較佳厚度的乾燥材料為止。
在一個實例中,方法360可為PECVD或PE-ALD處理。在PE-ALD處理中,自由基由HDP RF源所產生。PE-ALD發生在相對低的溫度下,該溫度在腔室壁或其他腔室元件的相對低溫限制(>100°C)以下。方法360可在預防維護、事後維修期間或依其他需要而發生,以確保所有金屬部件(例如腔室主體)被充分塗層以實質防止腔室發弧。在一個實例中,方法360可發生在薄膜形成處理之後,在該處理中,薄膜被形成在基板190上。
圖4A為依據本文中所述之另一實施例之處理腔室480之一部分的示意圖。處理腔室480實質類似於處理腔室100,但包括環形氣體分佈器418而非環形氣體分佈器118。氣體分佈器418耦合至腔室主體116。氣體分佈器418包括錐形外側壁以接合腔室主體116的內表面105。在一個實例中,氣體分佈器418之外側壁的直徑相較於其下部分在其頂部分處是較大的。氣體分佈器418之側壁的錐度度數可被選擇為相同或類似於內表面105的錐度。在此實例中,可經由楔契合件將氣體分佈器418維持在位,且因此促進氣體分佈器418的快速維護或交換。經由氣體供應線路138向環形氣體分佈器418供應母材或處理氣體,該氣體供應線路與安置在氣體分佈器418上的至少一個氣體入口交互作用。設想的是,可利用多於一個氣體供應線路138。
圖4B為依據一個實施例之環形氣體分佈器418的示意橫截面圖。環形氣體分佈器418具有環形氣體分佈器主體450。環形氣體分佈器主體450包括第一彎曲面456及第二扁平面458。複數個氣體分佈453a、453b及453c(圖示三者)被安置在環形氣體分佈器主體450的第一彎曲面456上且被調適為將處理氣體引導至處理腔室內的位置。環形氣體分佈器主體450的第一彎曲面456被定位為與第二扁平面458相反,該第二扁平面與腔室主體116接合。第二扁平面458具有約5 mm及約30 mm之間的高度459。在一個實例中,環形氣體分佈器主體450的第一彎曲面456可包括氣體分佈口453a、453b、453c以向內引導氣體,以促進如圖4A中所示之腔室480之內表面105的乾燥。在一個實施例中,該複數個氣體分佈口453a、453b、453c中的各者在直徑上約為0.5 mm至約3 mm,例如在直徑上約為1 mm。
可將該複數個氣體分佈口453a、453b、453c佈置在複數個行中。可例如跨第一彎曲面456將該等行均勻分佈在環形氣體主體450周圍。圖4B的氣體分佈口453a、453b及453c可被配置在第一行中。第一氣體分佈口453a被定位為以不同於第二氣體分佈口453b的角度引導離開氣體。第三氣體分佈口453c亦可被定位為以不同於第二氣體分佈口453b及不同於第一接口453a的角度引導離開氣體。並且,在另一實例中,該複數個氣體分佈口453a、453b、453c的各行可被調適為以不同於相鄰行的角度引導離開氣體   。可藉由氣體分佈器418之第一彎曲面456的直徑來控制氣體分佈口453a、453b、453c間之出口角上的變化。相鄰氣體分佈口453a、453b、453c間之出口角的相對差可在約15度及約30度之間。在一個實施例中,相鄰氣體分佈口453a、453b、453c之間的間隔可在約1 cm及約3 cm之間,例如約1 cm。
本揭示案的益處包括減少腔室發弧及發弧相關的缺陷,以及藉由在腔室易受發弧的部分中沉積乾燥材料來增加腔室壽命及減少維護成本。
儘管本文中的說明已參考HDP-CVD腔室,要了解的是,本文中的揭示亦可施用於其他半導體裝備工具,例如PECVD處理腔室、蝕刻處理腔室及PE-ALD處理腔室。
儘管以上所述是針對本揭示案的實施例,可自行設計本揭示案之其他的及進一步的實施例而不脫離本揭示案的基本範圍,且本揭示案的範圍是由隨後的請求項所決定的。
100:處理腔室 102:拱頂 104:電漿處理區域 105:內表面 106:基板支架 108:基板支臂 110:溫度控制板 112:電感組件 114:電感線圈 115:拱蓋組件 116:腔室主體 118:環形氣體分佈器 120:附接件 122:泵送系統 124:節流閥 126:泵 128:氣體入口 128a:氣體入口 128b:氣體入口 130:氣體板 132:氣體供應線路 134:致動器 136:伸縮管 138:氣體供應線路 140:第二氣體板 190:基板 218:氣體分佈設備 250:主體 252:氣體分佈口 252a:第一行 252b:第二行 253:氣體分佈口 254a:兩個氣體入口 254b:兩個氣體入口 360:方法 362:操作 364:操作 366:操作 368:操作 370:操作 418:氣體分佈器 450:環形氣體分佈器主體 453a:第一氣體分佈口 453b:第二氣體分佈口 453c:第三氣體分佈口 456:彎曲面 458:扁平面 459:高度 480:處理腔室
可藉由參照實施例(其中之某些係繪示於隨附的繪圖中)來擁有本揭示案的更特定描述,使得可使用詳細的方式來了解(以上所簡要概述的)以上所載之本揭示案特徵。然而,要注意的是,隨附的繪圖僅繪示示例性實施例且因此並被不視為其範圍的限制,因為本揭示案可容許其他等效的實施例。
圖1為依據本文中所述之一個實施例之處理腔室的示意圖。
圖2為依據本文中所述之一個實施例之環形氣體分佈器的示意圖。
圖3繪示依據本文中所述之一個實施例之方法的流程圖。
圖4A為依據本文中所述之另一實施例之處理腔室之一部分的示意圖。
圖4B為依據本文中所述之另一實施例之環形氣體分佈器的示意橫截面圖。
為了促進了解,已使用了相同參考標號(於可能處)以指定普遍用於該等圖式之相同構件。設想的是,可在不進一步重述的情況下有益地將一個實施例的構件及特徵併入其他實施例。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記)
105:內表面
116:腔室主體
138:氣體供應線路
418:氣體分佈器
480:處理腔室

Claims (20)

  1. 一種處理腔室,包含:在其中界定一容積的一腔室主體和一蓋組件,該蓋組件設置在該腔室主體上;一氣體入口,該氣體入口經設置以將氣體引入該容積中;一基板支撐件,該基板支撐件被設置在該容積中;及一環形氣體分佈器,該環形氣體分佈器被設置在位於該基板支撐件下方的該容積中,該環形氣體分佈器包含:一環形主體;及複數個氣體分佈口,該複數個氣體分佈口設置在該環形主體的一第一表面上。
  2. 如請求項1所述之處理腔室,其中該環形主體包含:鋁。
  3. 如請求項1所述之處理腔室,其中該環形氣體分佈器的該複數個氣體分佈口中的每一者具有在大約0.5毫米與大約3毫米之間的一直徑。
  4. 如請求項1所述之處理腔室,其中該複數個氣體分佈口被設置在複數個均勻分佈的列中,該複數個均勻分佈的列間隔開大約1公分至大約3公分。
  5. 如請求項1所述之處理腔室,其中該環形主體耦接至該腔室主體。
  6. 如請求項1所述之處理腔室,進一步包含:至少一個氣體進入口,其中該氣體進入口被設置在該環形主體的一第一側上。
  7. 一種處理腔室,包含:在其中界定一處理容積的一腔室主體和一蓋組件,該蓋組件被設置在該腔室主體上;一氣體入口,該氣體入口經設置以將氣體引入該處理容積中;一基板支撐件,該基板支撐件設置在該處理容積中;及一環形氣體分佈器,該環形氣體分佈器設置在位於該基板支撐件下方的該處理容積中,該環形氣體分佈器包含:一半環形主體,該半環形主體具有在其中界定一容積的一平坦表面和一彎曲表面;及複數個氣體分佈口,該複數個氣體分佈口被設置在該半環形主體的該彎曲表面。
  8. 如請求項7所述之處理腔室,其中該半環形主體包含:鋁。
  9. 如請求項7所述之處理腔室,其中該環形氣體分佈器的該複數個氣體分佈口中的每一者具有在大約0.5毫米與大約3毫米之間的一直徑。
  10. 如請求項7所述之處理腔室,其中該複數個氣體分佈口被設置在複數個均勻分佈的列中,該複數個均勻分佈的列分隔開大約1公分至大約3公分。
  11. 如請求項7所述之處理腔室,其中該半環形主體耦接至該腔室主體。
  12. 如請求項7所述之處理腔室,進一步包含:至少一個氣體進入口,其中該氣體進入口被設置在該半環形主體的一第一側上。
  13. 一種用於減少腔室發弧的方法,包含以下步驟:經由一第一氣體入口使得一第一前驅物流入一處理腔室;使得該第一前驅物離子化;經由一環形主體使得一第二前驅物流入該處理腔室,該環形主體經調適以分佈該第二前驅物,該第二前驅物不同於該第一前驅物;使得該第二前驅物離子化;及在該處理腔室的一內表面上從離子化的該第一前驅物和離子化的該第二前驅物中沉積一乾燥材料。
  14. 如請求項13所述之方法,其中該第一前驅物包含:H2O、臭氧,或氧。
  15. 如請求項13所述之方法,其中該第一前驅物包含:N2或NH3
  16. 如請求項13所述之方法,其中該第二前驅物是一含金屬的前驅物。
  17. 如請求項13所述之方法,其中該第二前驅物包含:三甲鋁或AlCl3
  18. 如請求項13所述之方法,其中該乾燥材料是Al2O3
  19. 如請求項13所述之方法,其中該乾燥材料是AlN。
  20. 如請求項13所述之方法,其中該乾燥材料被沉積至大約0.1微米至大約10微米的一厚度。
TW109105624A 2015-12-04 2016-11-25 用以防止hdp-cvd腔室發弧的先進塗層方法及材料 TWI742537B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562263491P 2015-12-04 2015-12-04
US62/263,491 2015-12-04
US201662299790P 2016-02-25 2016-02-25
US62/299,790 2016-02-25

Publications (2)

Publication Number Publication Date
TW202035770A TW202035770A (zh) 2020-10-01
TWI742537B true TWI742537B (zh) 2021-10-11

Family

ID=58797843

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105138796A TWI689612B (zh) 2015-12-04 2016-11-25 用以防止hdp-cvd腔室發弧的先進塗層方法及材料
TW109105624A TWI742537B (zh) 2015-12-04 2016-11-25 用以防止hdp-cvd腔室發弧的先進塗層方法及材料

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105138796A TWI689612B (zh) 2015-12-04 2016-11-25 用以防止hdp-cvd腔室發弧的先進塗層方法及材料

Country Status (6)

Country Link
US (2) US10208380B2 (zh)
JP (1) JP7166759B2 (zh)
KR (1) KR20180080993A (zh)
CN (2) CN108292588B (zh)
TW (2) TWI689612B (zh)
WO (1) WO2017095561A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US11532459B2 (en) * 2017-11-09 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus with cleaning gas flow guiding member
JP2022107873A (ja) * 2021-01-12 2022-07-25 東京エレクトロン株式会社 基板処理装置及びクリーニング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851294A (en) * 1995-10-23 1998-12-22 Watkins-Johnson Company Gas injection system for semiconductor processing
US20030056900A1 (en) * 1996-05-13 2003-03-27 Applied Materials, Incorporated A Delaware Corporation Deposition chamber and method for depositing low dielectric constant films
US20040217217A1 (en) * 2003-04-09 2004-11-04 Samsung Electronics Co., Ltd. Gas supplying apparatus

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS61222534A (ja) * 1985-03-28 1986-10-03 Anelva Corp 表面処理方法および装置
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5188671A (en) * 1990-08-08 1993-02-23 Hughes Aircraft Company Multichannel plate assembly for gas source molecular beam epitaxy
DE4106770C2 (de) * 1991-03-04 1996-10-17 Leybold Ag Verrichtung zum reaktiven Beschichten eines Substrats
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
JPH05217929A (ja) * 1992-01-31 1993-08-27 Tokyo Electron Tohoku Kk 酸化拡散処理装置
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR0139876B1 (ko) * 1993-09-14 1998-08-17 사토 후미오 금속산화막의 형성방법
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3699142B2 (ja) * 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP3181501B2 (ja) * 1995-10-31 2001-07-03 東京エレクトロン株式会社 処理装置および処理方法
WO1997031389A1 (fr) * 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
CN1164122A (zh) * 1996-03-01 1997-11-05 株式会社日立制作所 等离子处理机及其处理方法
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
AU3145197A (en) * 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
JP3270730B2 (ja) * 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
US6497783B1 (en) * 1997-05-22 2002-12-24 Canon Kabushiki Kaisha Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
KR20000002834A (ko) * 1998-06-23 2000-01-15 윤종용 에어커튼이 형성되는 반도체 제조용 확산설비 및 이를 제어하는방법
US6365016B1 (en) * 1999-03-17 2002-04-02 General Electric Company Method and apparatus for arc plasma deposition with evaporation of reagents
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
DE19943064B4 (de) * 1999-09-09 2013-01-31 Robert Bosch Gmbh Verfahren zur epitaktischen Abscheidung von Atomen oder Molekülen aus einem Reaktivgas auf einer Abscheidungsoberfläche eines Substrats
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
US6716289B1 (en) * 2000-08-09 2004-04-06 Itt Manufacturing Enterprises, Inc. Rigid gas collector for providing an even flow of gasses
US6666920B1 (en) * 2000-08-09 2003-12-23 Itt Manufacturing Enterprises, Inc. Gas collector for providing an even flow of gasses through a reaction chamber of an epitaxial reactor
US6325855B1 (en) * 2000-08-09 2001-12-04 Itt Manufacturing Enterprises, Inc. Gas collector for epitaxial reactors
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
KR20020095842A (ko) 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
KR100443908B1 (ko) * 2001-10-25 2004-08-09 삼성전자주식회사 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
JP3771865B2 (ja) * 2002-05-08 2006-04-26 三菱重工業株式会社 金属膜作製装置及び金属膜作製方法
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
KR100484945B1 (ko) * 2002-08-12 2005-04-22 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자 제조장치
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6837967B1 (en) 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6914007B2 (en) 2003-02-13 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ discharge to avoid arcing during plasma etch processes
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
CN100376723C (zh) * 2005-12-08 2008-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 增进流场均匀性的屏蔽板
US7989366B2 (en) 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
CN100527361C (zh) * 2006-12-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 气体分布装置
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7745350B2 (en) 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
US8329593B2 (en) * 2007-12-12 2012-12-11 Applied Materials, Inc. Method and apparatus for removing polymer from the wafer backside and edge
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
JP4634495B2 (ja) 2008-11-12 2011-02-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
KR101341371B1 (ko) * 2008-11-18 2013-12-13 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 플라즈마 처리 방법
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN102789962A (zh) * 2011-05-18 2012-11-21 中国科学院微电子研究所 一种用于改善刻蚀工艺的匀气装置
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
WO2014092856A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9583377B2 (en) * 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5851294A (en) * 1995-10-23 1998-12-22 Watkins-Johnson Company Gas injection system for semiconductor processing
US20030056900A1 (en) * 1996-05-13 2003-03-27 Applied Materials, Incorporated A Delaware Corporation Deposition chamber and method for depositing low dielectric constant films
US20040217217A1 (en) * 2003-04-09 2004-11-04 Samsung Electronics Co., Ltd. Gas supplying apparatus

Also Published As

Publication number Publication date
US10655223B2 (en) 2020-05-19
JP2018537580A (ja) 2018-12-20
KR20180080993A (ko) 2018-07-13
US20190169743A1 (en) 2019-06-06
TW201730365A (zh) 2017-09-01
WO2017095561A1 (en) 2017-06-08
TW202035770A (zh) 2020-10-01
US10208380B2 (en) 2019-02-19
TWI689612B (zh) 2020-04-01
US20170159176A1 (en) 2017-06-08
CN114551206A (zh) 2022-05-27
CN108292588A (zh) 2018-07-17
CN108292588B (zh) 2022-02-18
JP7166759B2 (ja) 2022-11-08

Similar Documents

Publication Publication Date Title
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
TWI795404B (zh) 用於多前驅物流的半導體處理腔室與系統
US10276353B2 (en) Dual-channel showerhead for formation of film stacks
JP2023025029A (ja) 前駆体の流れを改善する半導体処理チャンバ
JP4964142B2 (ja) 高密度プラズマ適用のための高真空中での自己冷却ガス分配装置
US20130306758A1 (en) Precursor distribution features for improved deposition uniformity
US11078568B2 (en) Pumping apparatus and method for substrate processing chambers
US11420217B2 (en) Showerhead for ALD precursor delivery
TWI742537B (zh) 用以防止hdp-cvd腔室發弧的先進塗層方法及材料
US11715667B2 (en) Thermal process chamber lid with backside pumping
US20140251540A1 (en) Substrate supporter and substrate processing apparatus including the same
US11222771B2 (en) Chemical control features in wafer process equipment
US11742185B2 (en) Uniform in situ cleaning and deposition
WO2024053442A1 (ja) プラズマ処理装置
TWI670391B (zh) 具有氣體分佈及個別泵送的批次固化腔室
TW202229628A (zh) 透過局部離子增強電漿(iep)的晶圓非均勻性調整
KR20170133671A (ko) 기판 처리 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees