JP2018537580A - Hdp−cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料 - Google Patents

Hdp−cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料 Download PDF

Info

Publication number
JP2018537580A
JP2018537580A JP2017560199A JP2017560199A JP2018537580A JP 2018537580 A JP2018537580 A JP 2018537580A JP 2017560199 A JP2017560199 A JP 2017560199A JP 2017560199 A JP2017560199 A JP 2017560199A JP 2018537580 A JP2018537580 A JP 2018537580A
Authority
JP
Japan
Prior art keywords
ring
gas
precursor
shaped
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017560199A
Other languages
English (en)
Other versions
JP7166759B2 (ja
Inventor
リン ジャン
リン ジャン
シュエソン ル
シュエソン ル
アンドリュー ヴイ レ
アンドリュー ヴイ レ
ジャンソク オ
ジャンソク オ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018537580A publication Critical patent/JP2018537580A/ja
Application granted granted Critical
Publication of JP7166759B2 publication Critical patent/JP7166759B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32394Treating interior parts of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本明細書に開示された実施形態は、一般に、プラズマ軽減プロセスおよび装置に関する。プラズマ軽減プロセスは、注入チャンバなどの処理チャンバからフォアライン廃水を取り込み、廃水を試薬と反応させる。廃水は、自然発火性副生成物を含む。フォアライン経路内に配置されたプラズマ発生装置は、廃水および試薬をイオン化して、廃水と試薬との間の反応を促進することができる。イオン化された核種は、反応して、排気流経路内部の条件で気相に留まる化合物を形成する。別の実施形態では、イオン化された核種は、反応して、気相から凝縮する化合物を形成することができる。次いで、凝縮された粒子状物質は、トラップによって廃水から除去される。本装置は、注入チャンバ、プラズマ発生装置、1つまたは複数のポンプ、およびスクラバーを含むことができる。【選択図】図5

Description

本開示の実施形態は、一般にHDP−CVDチャンバのアーク発生を低減させる装置およびコーティング方法に関する。
高密度プラズマ化学気相堆積(HDP−CVD)は、低い真空圧でより高密度のプラズマを生成するために誘導結合プラズマ源を用いる。プラズマが高密度になるほど、結果として優れた間隙充填性能、より低温での高品質の膜堆積、高スループット、および保守容易性がもたらされる。HDP−CVDのリアクタ設計およびプロセス技術によって、シャロートレンチ分離(STI)、プリメタル誘電体層(PMD)、層間誘電体(ILD)層、メタル間誘電体(IMD)層、およびパッシベーションを含む広範囲の用途のために、ドープされていない膜およびドープされた膜の両方の堆積が可能になる。したがって、HDP−CVDは、半導体産業において広く使用されている。
プロセス圧力が低いため、HDP−CVDチャンバで報告されている重要な問題の1つは、チャンバアーク発生である。アーク発生は、鋭い点に高い電界が集中することによって金属のチャンバ壁、ガスリング、およびカソード本体で絶縁破壊を引き起こす場合に生じる。小面積にわたって起こる高い放電電流は、露出した金属を蒸発させ、基板表面を汚染し、結果としてプロセス歩留を低下させる。
チャンバアーク発生を低減させるために様々な技法が提案されている。例えば、外面コーティング方法が設置前の新しいチャンバに使用されることがある。しかしながら、設置前のコーティングは、輸送、設置、もしくは保守中にクラックが入る場合は、またはコーティング層が薄くなりすぎた場合は、コーティングを再び施さなければならない。アーク発生を緩和するため、チャンバの洗浄後、シーズニング動作中に金属のチャンバ表面にシーズニング層を堆積させることがある。しかしながら、従来のシーズニング層は、チャンバ部品上の被覆率が十分ではなく、したがって、チャンバアーク発生問題が依然として存在する。
したがって、HDP−CVDチャンバアーク発生を低減させる改善された装置およびコーティング方法が必要である。
一実施態様において、リング状ガス分配器が開示される。リング状ガス分配器は、第1の側に配置された少なくとも1つのガス入口ポートと、リング状本体の第1の表面に配置された複数のガス分配ポートと、を有するリング状本体を含む。複数のガス分配ポートは、複数の均一に分布した列に配置される。複数の均一に分布した列の第1の列の複数のガス分配ポートの第1のガス分配ポートは、複数の均一に分布した列の第1の列の複数のガス分配ポートの第2のガス分配ポートの出口角度とは異なる出口角度でガスを方向付けるようになされている。
別の実施態様では、プロセスチャンバが開示される。プロセスチャンバは、チャンバ本体およびチャンバ本体に配置されたドームリッドアセンブリと、チャンバ本体に配置された基板支持体と、ドームリッドアセンブリに取り付けられたガス入口と、リング状ガス分配器と、を含む。リング状ガス分配器は、リング状本体、およびリング状本体の第1の表面に配置された複数のガス分配ポートを含む。
さらに別の実施態様では、方法が開示される。本方法は、第1のガス入口を介してプロセスチャンバに第1の前駆体を流入させるステップと、第1の前駆体をイオン化するステップと、第2の前駆体を分布させるようになされたリング状本体を介してプロセスチャンバに第2の前駆体を流入させるステップであって、第2の前駆体が第1の前駆体とは異なる、ステップと、第2の前駆体をイオン化するステップと、イオン化された第2の前駆体からのシーズニング材料をプロセスチャンバの内面に堆積させるステップと、を含む。
本開示の上記の特徴を詳細に理解することができるように、一部が添付図面に示される実施形態を参照することによって上で要約された本開示のより具体的な説明を行うことができる。しかしながら、添付図面は、例示的な実施形態のみを示し、したがって、その範囲を限定していると考えられるべきではなく、その理由は本開示が他の等しく効果的な実施形態を受け入れることができるためである。
本明細書に記載された一実施形態によるプロセスチャンバの概略図である。 本明細書に記載された一実施形態によるリング状ガス分配器の概略図である。 本明細書に記載された一実施形態による方法の流れ図である。 本明細書に記載された別の実施形態によるプロセスチャンバの一部の概略図である。 本明細書に記載された別の実施形態によるリング状ガス分配器の概略断面図である。
理解を容易にするために、各図に共通の同一の要素を指定するために、可能な場合は、同一の参照数字が使用されている。一実施形態の要素および特徴は、さらに詳説することなく他の実施形態において有益に組み込まれてもよいことが考えられる。
本明細書に記載された実施形態は、例えば、HDP−CVD、PECVD、PE−ALD、およびエッチングチャンバにおけるチャンバアーク発生を低減させる装置およびコーティング方法に関する。本装置は、コーティング材料のインシトゥ堆積に適したリング状ガス分配器と、これを含むプロセスチャンバと、を含む。リング状ガス分配器は、1つまたは複数のガス入口ポートおよび複数のガス分配ポートを含むことができる。リング状ガス分配器は、プラズマ化学気相堆積(PECVD)チャンバなどのプロセスチャンバの下方部分に配置され、シーズニング材料を堆積させるために前駆体ガスをプロセスチャンバの下方部分に供給することができる。また、本明細書に記載される実施形態は、プロセスチャンバをシーズニングする方法を含む。本方法は、第1の前駆体を第1のガス入口を介してプロセスチャンバに流入させるステップと、リング状ガス分配器を介してシーズニング前駆体をプロセスチャンバに流入させるステップと、を含む。第1および第2の前駆体をイオン化し、反応させて、プロセスチャンバ内でシーズニング材料を堆積させることができる。
図1は、本明細書に記載された一実施形態によるプロセスチャンバ100の概略図である。一例において、プロセスチャンバ100は、高密度プラズマ化学気相堆積(HDP−CVD)システムであってもよい。プロセスチャンバ100は、チャンバ本体116と、基板支持体106と、ドーム102、誘導性アセンブリ112、および温度制御プレート110を含むドームリッドアセンブリ115と、を含む。ドーム102は、チャンバ本体116上に配置されている。ドーム102およびチャンバ本体116は、プロセスチャンバ100の内部容積を画成する。基板支持体106は、プロセスチャンバ100の内部容積内部に位置し、処理中に基板190をその上に支持する。基板支持アーム108は、基板支持体106に結合され、基板支持体106の支持および作動を容易にする。アクチュエータ134は、ベローズ136を介して基板支持アーム108に結合されている。アクチュエータ134は、基板支持アーム108およびこれに結合された基板支持体106を垂直に作動させ、基板移送プロセス中に基板移送ロボット(図示せず)と基板支持体106との間の基板移送を容易にする。
ドーム102は、プロセスチャンバ100内部に位置するプラズマ処理領域104の上方境界を画成する。ドーム102は、アルミニウム、アルミニウム酸化物、またはアルミニウム窒化物などのセラミック誘電体材料で作られてもよい。プラズマ処理領域104の下方境界は、基板支持体106の上面によって画成される。処理中に、プラズマまたは他のイオン化ガスが、プラズマ処理領域104内部で生成され得、基板190の処理を促進する。例えば、プラズマがプラズマ処理領域104内で生成され、HDP−CVD、プラズマ化学気相堆積(PECVD)、またはプラズマ原子層堆積(PE−ALD)プロセスにおいて基板190上に材料を堆積させることができる。
1つまたは複数の誘導コイル114を含む誘導性アセンブリ112がドーム102上に配置され、プラズマ処理領域104のプラズマ生成を促進する。1つまたは複数の誘導コイル114に電力を供給するために、電源(図示せず)が誘導性アセンブリ112に結合されてもよい。動作中に、複数の誘導コイル114は、プラズマ処理領域104内部に位置する1つまたは複数の前駆体またはプロセスガスに高周波(RF)電力を供給して、前駆体またはプロセスガスをイオン化することができる。一例において、複数の誘導コイル114は、名目上2MHzで最大5,000ワットのRF電力を供給することができる。複数の誘導コイル114の動作周波数は、プラズマ生成効率を改善するために名目上の動作周波数からオフセットされてもよい。温度制御プレート110は、誘導性アセンブリ112上に配置され、誘導性アセンブリ112を介してドーム102に熱的に結合されている。温度制御プレート110によって、約100℃〜200℃の範囲にわたって約±10℃以内でドーム温度の制御が可能になる。温度制御プレート110によって、様々なプロセスに対するドーム温度の最適化が容易になる。一例において、温度制御プレート110によって提供される温度制御に加えて、またはその代わりに、液体冷却剤が誘導コイル114を通って流れ、誘導コイルの冷却を促進することができる。
プロセスチャンバ100は、HDP−CVD、PECVD、またはPEALDプロセスなどの処理中に利用される1つまたは複数の前駆体もしくはプロセスガスを貯蔵するようになされたガスパネル130を含む。前駆体またはプロセスガスは、ドームリッドアセンブリ115に取り付けられた1つまたは複数のガス入口128(2つが128a、128bとして示されている)を介してプラズマ処理領域104に導入されてもよい。1つまたは複数のガス入口128a、128bは、1つまたは複数のガス供給ライン132(そのうちの1つが示されている)を介してガスパネル130に結合されている。一実施形態において、1つまたは複数のガス入口128は、単一のガスリングとして構成されてもよい。別の実施形態では、1つまたは複数のガス入口128は、頂部バッフルとして構成されてもよい。処理中に使用される前駆体ガスもしくは他のガスなどのプロセスガス、またはそれらの反応副生成物は、ポンピングシステム122によってプロセスチャンバ100から排気されてもよい。ポンピングシステム122は、プロセスチャンバ100の下方部分に連結され、スロットルバルブ124およびポンプ126を含む。スロットルバルブ124は、チャンバ本体116にポンプ126を結合する。スロットルバルブ124は、ポンプ126を通ってプロセスチャンバ100を出る排気流の流量を制限することによって、チャンバ圧を制御するよう作動させることができる。
リング状ガス分配器118は、スロットルバルブ124と基板支持体106との間のチャンバ本体116の下方部分に配置されている。リング状ガス分配器118は、1つまたは複数のガス入口ポートおよびガス分配ポートを有する中空の管状リングを含み、プロセスチャンバ100の下方部分にプロセスガスまたは前駆体ガスを分配する。リング状ガス分配器118は、アタッチメント120によってチャンバ本体116の内面105に取り付けられてもよい。アタッチメント120は、ばね付勢クリップ、ブラケット、締め具などであってもよい。前駆体ガスまたはプロセスガスは、ガス供給ライン138を介して第2のガスパネル140からリング状ガス分配器118に供給される。ガス供給ライン138は、基板支持アーム108に隣接するベローズ136を通って配管されてもよい。あるいは、ガス供給ライン138は、ガス入口128a、128bを通って配管されてもよい。
処理中に使用される前駆体ガスまたは他のガスなどのプロセスガスは、第2のガスパネル140からリング状ガス分配器118に供給され、プロセスチャンバ100の下方部分に分配され、チャンバ本体116の内面105上のシーズニング層の形成を容易にする。リング状ガス分配器118は、プロセスチャンバ100の下方部分にガスを均一に分配するようになされ、従来の手法よりも一様なシーズニング層を形成し、したがって、望ましくないアーク発生の可能性を低減させる。リング状ガス分配器118は、チャンバ本体116の内面105の直径よりも小さい直径を有することができる。一例において、リング状ガス分配器は、内面105の直径よりも約3パーセント〜約20パーセント小さい直径を有することができる。リング状ガス分配器118は、金属、金属合金、またはセラミック材料で作られてもよい。一実施形態において、リング状ガス分配器118は、金属汚染を防止するようにチャンバ本体116と同じ材料で作られている。別の実施形態では、リング状ガス分配器118は、アルミニウム酸化物で作られてもよい。別の実施形態では、リング状ガス分配器118は、アルミニウム窒化物で作られてもよい。さらに別の実施形態では、リング状ガス分配器118は、アルミニウムで作られてもよい。
図2は、リング状ガス分配器118の概略図である。リング状ガス分配器118は、両側に配置された2つのガス入口ポート254aおよび254b、ならびにリング状本体250の第1の表面に配置された複数のガス分配ポート253を有する中空のリング状本体250を含むリング状ガス分配器である。一例において、リング状本体250の上半分は、図1に示すように、プロセスチャンバ100の内面105のシーズニングを容易にするためにガスを上方に向けるガス分配ポート253を含むことができる。一実施形態において、複数のガス分配ポート253のそれぞれは、直径が約0.5mm〜約3mm、例えば、直径約1mmである。
複数のガス分配ポート253は、複数の列252a、252b(そのうちの2つがラベル付けされている)に配置されてもよい。列252aは、第1の列を表わすことができ、列252bは、第2の列を表わすことができる。複数の列は、本体250の周囲に同心円状に均一に分布してもよい。同心円の列の分布を示すために、線が、列252aと252bのポート253間に含まれている。また、それぞれの列を構成するポート253は、本体250の中心線と半径方向に整列していてもよい。第1の列252aの複数のガス分配ポート253は、隣接する列、例えば第2の列252bの出口角度とは異なる角度で出口ガスを方向付けるように配置されている。言いかえれば、複数のガス分配ポート252の各列は、隣接する列とは異なる角度で出口ガスを方向付けるようになされている。別の例において、特定の列のガス分配ポート253は、トリプレットにグループ化されてもよく、トリプレットのそれぞれのガス分配ポートが異なるガス出口角度を有する。3つの異なる角度は、単一の列、例えば、列252aまたは列252bのガス分配ポート253のそれぞれのトリプレットについて繰り返されてもよい。隣接する列252a、252b間、または隣接するガス分配ポート253間の出口角度の変化は、ガス分配装置218の第1の表面の直径によって制御されてもよい。出口角度の相対差は、約15度〜約30度であってもよい。一実施形態において、複数のガス分配ポート253のそれぞれのガス分配ポート253間の間隔は、約1cm〜約3cm、例えば、約1cmであってもよい。
図2はリング状ガス分配器118の一実施形態を示しているが、他の実施形態も考えられる。別の実施形態では、リング状ガス分配器118は、2つのガス入口ポート254a、254bよりも多いまたは少ないガス入口ポートを含むことができる。そのような例では、ガス入口ポートは、リング状ガス分配器118の外周に等間隔で配置されてもよい。加えて、ガス分配ポート253は、プロセスチャンバ100内部のガス流に影響を及ぼすように不均一に分布してもよいことが考えられる。また、隣接する列252a、252bも不均一に分布してもよい。不均一な分布は、プロセスチャンバ100の非対称の特徴、またはプロセスチャンバ100内部のガス流プロファイルの差を補償することができる。さらに、ガス分配器118がリング状本体を有するとして示されているが、プロセスチャンバ内部のガス流に影響を及ぼすように楕円などの他の形状を利用してもよいことが考えられる。
図3は、本明細書に記載された一実施形態による方法360の流れ図を示す。本開示の実施形態についての理解を容易にするために、図3は、図1に関連して論じられる。方法360は、プロセスチャンバ100の内面105にシーズニング材料を堆積させるために利用されてもよい。方法360は、動作362で始まる。動作362では、処理ガス、例えば第1の前駆体がガス入口128aまたは128bの1つもしくは両方を介してプロセスチャンバ100に導入される。第1の前駆体は、酸素前駆体または窒素前駆体であってもよい。例示的な第1の前駆体は、限定されないが、水(H2O)、オゾン(O3)、酸素(O2)、窒素(N2)、およびアンモニア(NH3)を含む。第1の前駆体は、動作364でイオン化される。イオン化された第1の前駆体は、プロセスチャンバ100の内面105上に単層を形成する。
動作366では、第2の前駆体がリング状ガス分配器118によってプロセスチャンバ100に導入される。導入されるリング状ガス分配器118は、第2の前駆体が基板支持体106の上に配置されたガス入口128a、128bを介して単独で導入された場合は、一般には到達できない、または十分には到達しないチャンバの部分に前駆体を向ける。第2の前駆体材料は、アルミニウム含有前駆体、または他の金属含有前駆体であってもよい。例示的な第2の前駆体は、限定されないが、トリメチルアルミニウム(TMA)または塩化アルミニウム(AlCl3)を含む。第2の前駆体は、動作368でイオン化される。
動作370では、イオン化された第2の前駆体は、内面105上に形成された第1の前駆体の単層に吸着する、またはこの単層と反応する。第2の単層の吸収または反応によって、結果としてプロセスチャンバ100のチャンバ本体116の内面105にシーズニング材料が形成される。シーズニング材料は、以下の特性の1つまたは複数を有する任意の誘電体材料を含むことができる。すなわち、(1)7MV/cmを超えるような高い降伏電圧があり、(2)フッ素(F)ラジカルに耐性があり、(3)粒子汚染の懸念が最小であり、あるいは(4)金属汚染の懸念が最小である。一実施形態において、シーズニング材料は、アルミニウム酸化物(Al23)である。別の実施形態では、シーズニング材料は、アルミニウム窒化物(AlN)である。シーズニング材料は、アーク発生を防止する厚さ、例えば、約0.1μm〜約10μm、例えば、約1μm〜約3μm堆積させることができる。一例において、方法360は、ALDプロセスであってもよく、シーズニング材料は、堆積サイクル当たり約5オングストローム〜約10オングストロームの厚さで堆積させることができる。そのような例では、好ましい厚さのシーズニング材料が形成されるまで、動作362〜370が繰り返される。
方法360は、一例において、PECVDまたはPE−ALDプロセスであってもよい。PE−ALDプロセスでは、ラジカルがHDP RF源によって生成される。PE−ALDは、比較的低温で行われ、その温度は、チャンバ壁または他のチャンバ部品の相対的な低温限界よりも低い(<100℃)。方法360は、予防保守、事後保守中に、または他の方法で必要に応じて行われ、確実に、チャンバ本体などの金属部分すべてがチャンバアーク発生を実質的に防止するのに十分に被覆されるようにすることができる。一例において、方法360は、薄膜が基板190上に形成される薄膜形成プロセスに続いて行われてもよい。
図4Aは、本明細書に記載された別の実施形態によるプロセスチャンバ480の一部の概略図である。プロセスチャンバ480は、プロセスチャンバ100と実質的に同様であるが、リング状ガス分配器118の代わりにリング状ガス分配器418を含む。ガス分配器418は、チャンバ本体116に結合されている。ガス分配器418は、チャンバ本体116の内面105に係合するようにテーパ付けされた外部側壁を含む。一例において、ガス分配器418の外部側壁の直径は、頂部部分では、下方部分よりも大きい。ガス分配器418の側壁のテーパの度合いは、内面105のテーパと同一または同様となるように選択されてもよい。そのような例では、ガス分配器418は、くさび嵌めを介して適位置に維持され、したがってガス分配器418の迅速な保守または交換を容易にする。前駆体ガスまたはプロセスガスは、ガス分配器418に配置された少なくとも1つのガス入口ポートと相互に作用するガス供給ライン138を介してリング状ガス分配器418に供給される。2つ以上のガス供給ライン138が利用されてもよいことが考えられる。
図4Bは、一実施形態によるリング状ガス分配器418の概略断面図である。リング状ガス分配器418は、リング状ガス分配本体450を有する。リング状ガス分配本体450は、第1の湾曲面456および第2の平坦面458を含む。複数のガス分配453a、453b、および453c(3つが示されている)がリング状ガス分配本体450の第1の湾曲面456に配置され、プロセスガスをプロセスチャンバ内部の位置に向けるようになされている。リング状ガス分配本体450の第1の湾曲面456は、チャンバ本体116に係合する第2の平坦面458の反対側に配置されている。第2の平坦面458は、約5mm〜約30mmの高さ459を有する。一例において、リング状ガス分配本体450の第1の湾曲面456は、図4Aに示すようなチャンバ480の内面105のシーズニングを容易にするように、ガスを内部に向けるためのガス分配ポート453a、453b、453cを含むことができる。一実施形態において、複数のガス分配ポート453a、453b、453cのそれぞれは、直径が約0.5mm〜約3mm、例えば、直径約1mmである。
複数のガス分配ポート453a、453b、453cは、複数の列に配置されてもよい。各列は、リング状ガス本体450の周囲に、例えば第1の湾曲面456全体にわたって均一に分布してもよい。図4Bのガス分配ポート453a、453b、および453cは、第1の列に構成されてもよい。第1のガス分配ポート453aは、第2のガス分配ポート453bとは異なる角度で出口ガスを方向付けるように配置されている。また、第3のガス分配ポート453cは、第2のガス分配ポート453bと異なり、かつ第1のポート453aと異なる角度で出口ガスを方向付けるように配置されてもよい。さらに、別の例において、複数のガス分配ポート453a、453b、453cのそれぞれの列は、隣接する列とは異なる角度で出口ガスを方向付けるようになされてもよい。ガス分配ポート453a、453b、453c間の出口角度の変化は、ガス分配器418の第1の湾曲面456の直径によって制御されてもよい。隣接するガス分配ポート453a、453b、453c間の出口角度の相対差は、約15度〜約30度であってもよい。一実施形態において、隣接するガス分配ポート453a、453b、453c間の間隔は、約1cm〜約3cm、例えば約1cmであってもよい。
本開示の利点は、アーク発生に影響されやすいチャンバの部分にシーズニング材料を堆積させることによるチャンバのアーク発生およびアーク発生関連の欠陥の低減、ならびにチャンバ寿命の向上および維持費の低減を含む。
本明細書の記載は、HDP−CVDチャンバを参照しているが、本明細書の開示は、PECVDプロセスチャンバ、エッチングプロセスチャンバ、およびPE−ALDプロセスチャンバなどの他の半導体装備ツールにも適用可能であることを理解されたい。
前述の事項は、本開示の実施形態を対象としているが、本開示の他のおよびさらなる実施形態が本開示の基本的な範囲から逸脱せずに、考案されてもよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. リング状本体の第1の側に配置された少なくとも1つのガス入口ポートを有するリング状本体と、
    前記リング状本体の第1の表面に配置された複数のガス分配ポートであって、複数の均一に分布した列に配置された複数のガス分配ポートであり、前記複数の均一に分布した列の第1の列の前記複数のガス分配ポートが、前記複数の均一に分布した列の第2の列の前記複数のガス分配ポートの出口角度とは異なる出口角度でガスを方向付けるようになされている、複数のガス分配ポートと、
    を備える、リング状ガス分配器。
  2. 前記リング状本体の前記第1の表面が湾曲し、前記リング状本体の第2の表面が平坦である、請求項1に記載のリング状ガス分配器。
  3. 前記リング状本体がアルミニウム、アルミニウム酸化物、およびアルミニウム窒化物から成るグループから選択された材料を含む、請求項1に記載のリング状ガス分配器。
  4. 前記複数のガス分配ポートのそれぞれが約0.5mm〜約3mmの直径を有する、請求項1に記載のリング状ガス分配器。
  5. 前記複数の均一に分布した列が約1cm〜約3cm相隔たっている、請求項1に記載のプロセスチャンバ。
  6. チャンバ本体と、
    前記チャンバ本体に配置されたドームリッドアセンブリと、
    前記ドームリッドアセンブリに取り付けられたガス入口と、
    前記チャンバ本体に配置された基板支持体と、
    前記ドームリッドアセンブリに取り付けられたガス入口と、
    前記基板支持体の真下に配置されたリング状ガス分配器であって、
    リング状本体、および
    前記リング状本体の第1の表面に配置された複数のガス分配ポート、
    を備える、リング状ガス分配器と、
    を備える、プロセスチャンバ。
  7. 前記リング状本体がアルミニウムを含む、請求項6に記載のプロセスチャンバ。
  8. 前記リング状ガス分配器の前記複数のガス分配ポートのそれぞれが約0.5mm〜約3mmの直径を有する、請求項6に記載のプロセスチャンバ。
  9. 前記複数の均一に分布した列が約1cm〜約3cm相隔たっている、請求項6に記載のプロセスチャンバ。
  10. 少なくとも1つのガス入口ポートをさらに備え、前記ガス入口ポートが前記リング状本体の第1の側に配置されている、請求項6に記載のプロセスチャンバ。
  11. 第1のガス入口を介してプロセスチャンバに第1の前駆体を流入させるステップと、
    前記第1の前駆体をイオン化するステップと、
    第2の前駆体を分布させるようになされたリング状本体を介して前記プロセスチャンバに前記第2の前駆体を流入させるステップであって、前記第2の前駆体が前記第1の前駆体とは異なる、ステップと、
    前記第2の前駆体をイオン化するステップと、
    前記イオン化された第1の前駆体および前記イオン化された第2の前駆体からのシーズニング材料を前記プロセスチャンバの内面に堆積させるステップと、
    を含む、方法。
  12. 前記第1の前駆体がH2O、オゾン、酸素、N2、またはNH3を含む、請求項11に記載の方法。
  13. 前記第2の前駆体が金属含有前駆体である、請求項11に記載の方法。
  14. 前記第2の前駆体がトリメチルアルミニウムまたはAlCl3を含む、請求項11に記載の方法。
  15. 前記シーズニング材料がAl23またはAlNであり、前記シーズニング材料を約0.1μm〜約10μmの厚さに堆積させる、請求項11に記載の方法。
JP2017560199A 2015-12-04 2016-10-26 Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料 Active JP7166759B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562263491P 2015-12-04 2015-12-04
US62/263,491 2015-12-04
US201662299790P 2016-02-25 2016-02-25
US62/299,790 2016-02-25
PCT/US2016/058923 WO2017095561A1 (en) 2015-12-04 2016-10-26 Advanced coating method and materials to prevent hdp-cvd chamber arcing

Publications (2)

Publication Number Publication Date
JP2018537580A true JP2018537580A (ja) 2018-12-20
JP7166759B2 JP7166759B2 (ja) 2022-11-08

Family

ID=58797843

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017560199A Active JP7166759B2 (ja) 2015-12-04 2016-10-26 Hdp-cvdチャンバのアーク発生を防止するための高度なコーティング方法および材料

Country Status (6)

Country Link
US (2) US10208380B2 (ja)
JP (1) JP7166759B2 (ja)
KR (1) KR20180080993A (ja)
CN (2) CN108292588B (ja)
TW (2) TWI742537B (ja)
WO (1) WO2017095561A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US11532459B2 (en) * 2017-11-09 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus with cleaning gas flow guiding member
JP2022107873A (ja) * 2021-01-12 2022-07-25 東京エレクトロン株式会社 基板処理装置及びクリーニング方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001122691A (ja) * 1999-09-09 2001-05-08 Robert Bosch Gmbh 基板の析出表面上に反応ガスからの原子又は分子をエピタキシャルに析出させる方法及び装置
US20020189760A1 (en) * 2001-06-16 2002-12-19 Min-O Park Ashing apparatus for semiconductor device
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS61222534A (ja) * 1985-03-28 1986-10-03 Anelva Corp 表面処理方法および装置
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5188671A (en) * 1990-08-08 1993-02-23 Hughes Aircraft Company Multichannel plate assembly for gas source molecular beam epitaxy
DE4106770C2 (de) * 1991-03-04 1996-10-17 Leybold Ag Verrichtung zum reaktiven Beschichten eines Substrats
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
JPH05217929A (ja) * 1992-01-31 1993-08-27 Tokyo Electron Tohoku Kk 酸化拡散処理装置
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR0139876B1 (ko) * 1993-09-14 1998-08-17 사토 후미오 금속산화막의 형성방법
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3699142B2 (ja) * 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
JP3181501B2 (ja) * 1995-10-31 2001-07-03 東京エレクトロン株式会社 処理装置および処理方法
WO1997031389A1 (fr) * 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
CN1164122A (zh) * 1996-03-01 1997-11-05 株式会社日立制作所 等离子处理机及其处理方法
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
JP3270730B2 (ja) * 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
DE69807006T2 (de) * 1997-05-22 2003-01-02 Canon Kk Plasmabehandlungsvorrichtung mit einem mit ringförmigem Wellenleiter versehenen Mikrowellenauftragsgerät und Behandlungsverfahren
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
KR20000002834A (ko) * 1998-06-23 2000-01-15 윤종용 에어커튼이 형성되는 반도체 제조용 확산설비 및 이를 제어하는방법
US6365016B1 (en) * 1999-03-17 2002-04-02 General Electric Company Method and apparatus for arc plasma deposition with evaporation of reagents
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
US6325855B1 (en) * 2000-08-09 2001-12-04 Itt Manufacturing Enterprises, Inc. Gas collector for epitaxial reactors
US6716289B1 (en) * 2000-08-09 2004-04-06 Itt Manufacturing Enterprises, Inc. Rigid gas collector for providing an even flow of gasses
US6666920B1 (en) * 2000-08-09 2003-12-23 Itt Manufacturing Enterprises, Inc. Gas collector for providing an even flow of gasses through a reaction chamber of an epitaxial reactor
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
KR100443908B1 (ko) * 2001-10-25 2004-08-09 삼성전자주식회사 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
JP3771865B2 (ja) * 2002-05-08 2006-04-26 三菱重工業株式会社 金属膜作製装置及び金属膜作製方法
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
KR100484945B1 (ko) * 2002-08-12 2005-04-22 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자 제조장치
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6914007B2 (en) 2003-02-13 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ discharge to avoid arcing during plasma etch processes
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
KR100561848B1 (ko) * 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
CN100376723C (zh) * 2005-12-08 2008-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 增进流场均匀性的屏蔽板
US7989366B2 (en) 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
CN100527361C (zh) * 2006-12-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 气体分布装置
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7745350B2 (en) 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
US8329593B2 (en) * 2007-12-12 2012-12-11 Applied Materials, Inc. Method and apparatus for removing polymer from the wafer backside and edge
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
JP4634495B2 (ja) 2008-11-12 2011-02-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
US20110240598A1 (en) * 2008-11-18 2011-10-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN102789962A (zh) * 2011-05-18 2012-11-21 中国科学院微电子研究所 一种用于改善刻蚀工艺的匀气装置
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US20140166618A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9583377B2 (en) * 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001122691A (ja) * 1999-09-09 2001-05-08 Robert Bosch Gmbh 基板の析出表面上に反応ガスからの原子又は分子をエピタキシャルに析出させる方法及び装置
US20020189760A1 (en) * 2001-06-16 2002-12-19 Min-O Park Ashing apparatus for semiconductor device
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer

Also Published As

Publication number Publication date
TWI742537B (zh) 2021-10-11
US20170159176A1 (en) 2017-06-08
US20190169743A1 (en) 2019-06-06
TW201730365A (zh) 2017-09-01
TW202035770A (zh) 2020-10-01
US10655223B2 (en) 2020-05-19
CN108292588B (zh) 2022-02-18
KR20180080993A (ko) 2018-07-13
CN108292588A (zh) 2018-07-17
US10208380B2 (en) 2019-02-19
TWI689612B (zh) 2020-04-01
WO2017095561A1 (en) 2017-06-08
JP7166759B2 (ja) 2022-11-08
CN114551206A (zh) 2022-05-27

Similar Documents

Publication Publication Date Title
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
JP6679591B2 (ja) プロセス均一性を高めるための方法およびシステム
US8197599B2 (en) Gas head and thin-film manufacturing apparatus
TWI391996B (zh) 電漿輔助化學氣相沉積薄膜之總缺陷降低方法
US7175713B2 (en) Apparatus for cyclical deposition of thin films
JP5909484B2 (ja) 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US20060090700A1 (en) Gas-introducing system and plasma CVD apparatus
US10388511B2 (en) Method of forming silicon nitride film, film forming apparatus and storage medium
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US11078568B2 (en) Pumping apparatus and method for substrate processing chambers
US10655223B2 (en) Advanced coating method and materials to prevent HDP-CVD chamber arcing
US20140251540A1 (en) Substrate supporter and substrate processing apparatus including the same
KR20180126086A (ko) 마이크로-볼륨 증착 챔버
KR102350991B1 (ko) 경사면 에칭 프로파일 제어
US11222771B2 (en) Chemical control features in wafer process equipment
CN108998776B (zh) 通过独立控制teos流量的沉积径向和边缘轮廓可维持性
CN109321894B (zh) 一种增强清洗效果的沉积系统及方法
US20220165567A1 (en) Systems and methods for deposition residue control
US11555244B2 (en) High temperature dual chamber showerhead
WO2024053442A1 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180605

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190828

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200817

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210728

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211028

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211102

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20220202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220330

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220912

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220912

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220920

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220928

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221026

R150 Certificate of patent or registration of utility model

Ref document number: 7166759

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150