KR20180080993A - Hdp-cvd 챔버 아킹을 방지하기 위한 첨단 코팅 방법 및 재료들 - Google Patents

Hdp-cvd 챔버 아킹을 방지하기 위한 첨단 코팅 방법 및 재료들 Download PDF

Info

Publication number
KR20180080993A
KR20180080993A KR1020177033238A KR20177033238A KR20180080993A KR 20180080993 A KR20180080993 A KR 20180080993A KR 1020177033238 A KR1020177033238 A KR 1020177033238A KR 20177033238 A KR20177033238 A KR 20177033238A KR 20180080993 A KR20180080993 A KR 20180080993A
Authority
KR
South Korea
Prior art keywords
ring
gas
precursor
process chamber
chamber
Prior art date
Application number
KR1020177033238A
Other languages
English (en)
Inventor
린 장
췌송 루
앤드류 브이. 레
장 석 오
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20180080993A publication Critical patent/KR20180080993A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32394Treating interior parts of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본원에서 설명되는 실시예들은, 예컨대 HDP-CVD, PECVD, PE-ALD 및 식각 챔버들에서 챔버 아킹을 감소시키기 위한 장치 및 코팅 방법들에 관한 것이다. 장치는 코팅 재료들의 인-시튜 증착을 위해 사용되는 링 형상 가스 분배기, 및 링 형상 가스 분배기를 포함하는 프로세스 챔버를 포함한다. 링 형상 가스 분배기는 링 형상 바디의 제1 측 상에 배치된 적어도 하나의 가스 입구 포트를 갖는 그러한 링 형상 바디 및 링 형상 바디의 제1 표면 상에 배치된 복수의 가스 분배 포트들을 포함한다. 복수의 가스 분배 포트들은 복수의 균일하게 분포된 로우(row)들로 배열된다. 복수의 균일하게 분포된 로우들 중 제1 로우의 복수의 가스 분배 포트들은, 복수의 균일하게 분포된 로우들 중 제2 로우의 복수의 가스 분배 포트들의 출구 각도와 상이한 출구 각도로 가스를 지향시키도록 적응된다.

Description

HDP-CVD 챔버 아킹을 방지하기 위한 첨단 코팅 방법 및 재료들
[0001] 본 개시내용의 실시예들은 일반적으로, HDP-CVD 챔버 아킹(chamber arcing)을 감소시키기 위한 장치들 및 코팅 방법들에 관한 것이다.
[0002] HDP-CVD(High-Density Plasma Chemical Vapor Deposition)는 낮은 진공 압력들에서 더 고밀도의 플라즈마의 생성을 위해 유도성으로 커플링된 플라즈마 소스를 이용한다. 더 고밀도의 플라즈마는 우수한 갭 충전 성능, 더 저온들에서의 고품질의 막 증착, 높은 스루풋 및 용이한 사용성(serviceability)을 유발한다. HDP-CVD의 리액터 설계 및 프로세스 기술은, STI(shallow trench isolation), PMD(pre-metal dielectric layer)들, ILD(interlevel dielectric) 층들, IMD(intermetal dielectric) 층들, 및 패시베이션을 비롯한 광범위한 애플리케이션들에 대해 도핑되지 않은 막 및 도핑된 막 둘 모두의 증착을 가능하게 한다. 그러므로, HDP-CVD는 반도체 산업에서 폭넓게 사용된다.
[0003] 낮은 프로세스 압력으로 인해, HDP-CVD 챔버들에서 보고되는 주요 문제들 중 하나는 챔버 아킹이다. 아킹은, 날카로운 지점(sharp point)에서의 고농도의 E-필드가 금속성 챔버 벽, 가스 링 및 캐소드 바디에서 유전체 파괴(dielectric break down)를 야기할 때 발생한다. 작은 영역에 걸쳐 발생하는 높은 방전 전류는, 노출된 금속을 증발시키고 기판 표면들을 오염시켜서, 감소된 프로세스 수율을 초래한다.
[0004] 챔버 아킹을 감소시키기 위해 다양한 기법들이 제안되었다. 예컨대, 외부 코팅 방법은 새로운 챔버 상에서 사전-설치에 사용될 수 있다. 그러나, 사전-설치 코팅이 이송, 설치, 또는 유지보수 동안 균열되는 경우 또는 일단 코팅 층이 너무 얇아지는 경우, 코팅은 재도포되어야 한다. 아킹을 완화시키기 위해, 챔버 세정 후에 시즈닝 동작(seasoning operation)들 동안, 시즈닝 층이 금속성 챔버 표면들 상에 증착될 수 있다. 그러나, 종래의 시즈닝 층들은 챔버 컴포넌트들에 대해 불충분한 커버리지를 제공하며, 그에 따라 챔버 아킹 문제들이 여전히 존재한다.
[0005] 그러므로, HDP-CVD 챔버 아킹을 감소시키기 위한 개선된 장치들 및 코팅 방법들에 대한 필요성이 존재한다.
[0006] 일 구현에서, 링 형상 가스 분배기가 개시된다. 링 형상 가스 분배기는 링 형상 바디의 제1 측 상에 배치된 적어도 하나의 가스 입구 포트를 갖는 링 형상 바디 및 링 형상 바디의 제1 표면 상에 배치된 복수의 가스 분배 포트들을 포함한다. 복수의 가스 분배 포트들은 복수의 균일하게 분포된 로우(row)들로 배열된다. 복수의 균일하게 분포된 로우들 중 제1 로우의 복수의 가스 분배 포트들 중 제1 가스 분배 포트는, 복수의 균일하게 분포된 로우들 중 제1 로우의 복수의 가스 분배 포트들 중 제2 가스 분배 포트의 출구 각도(exit angle)와 상이한 출구 각도로 가스를 지향시키도록 적응된다.
[0007] 다른 구현에서, 프로세스 챔버가 개시된다. 프로세스 챔버는 챔버 바디 및 챔버 바디 상에 배치된 돔 리드 어셈블리(dome lid assembly), 챔버 바디 내에 포지셔닝된 기판 지지부, 돔 리드 어셈블리 상에 장착된 가스 유입구, 및 링 형상 가스 분배기를 포함한다. 링 형상 가스 분배기는 링 형상 바디, 및 링 형상 바디의 제1 표면 상에 배치된 복수의 가스 분배 포트들을 포함한다.
[0008] 또 다른 구현에서, 방법이 개시된다. 방법은, 제1 전구체(precursor)를 제1 가스 유입구를 통해 프로세스 챔버 내로 유동시키는 단계; 제1 전구체를 이온화하는 단계; 제2 전구체를 분배하도록 적응된 링 형상 바디를 통해 프로세스 챔버 내로 제2 전구체를 유동시키는 단계 ― 제2 전구체는 제1 전구체와 상이함 ―; 제2 전구체를 이온화하는 단계; 및 이온화된 제2 전구체로부터의 시즈닝 재료(seasoning material)를 프로세스 챔버의 내부 표면 상에 증착하는 단계를 포함한다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본원에서 설명되는 일 실시예에 따른 프로세스 챔버의 개략도이다.
[0011] 도 2는 본원에서 설명되는 일 실시예에 따른 링 형상 가스 분배기의 개략도이다.
[0012] 도 3은 본원에서 설명되는 일 실시예에 따른 방법의 흐름도를 예시한다.
[0013] 도 4a는 본원에서 설명되는 다른 실시예에 따른 프로세스 챔버의 일 부분의 개략도이다.
[0014] 도 4b는 본원에서 설명되는 다른 실시예에 따른 링 형상 가스 분배기의 개략적 단면도이다.
[0015] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예의 엘리먼트들 및 피처(feature)들이 추가의 언급없이 다른 실시예들에 유익하게 통합될 수 있음이 예상된다.
[0016] 본원에서 설명되는 실시예들은, 예컨대 HDP-CVD, PECVD, PE-ALD 및 식각 챔버들에서 챔버 아킹을 감소시키기 위한 장치 및 코팅 방법들에 관한 것이다. 장치는 코팅 재료들의 인-시튜 증착(in-situ deposition)에 적절한 링 형상 가스 분배기, 및 링 형상 가스 분배기를 포함하는 프로세스 챔버를 포함한다. 링 형상 가스 분배기는 하나 또는 그 초과의 가스 입구 포트들, 및 복수의 가스 분배 포트들을 포함할 수 있다. 링 형상 가스 분배기는 시즈닝 재료의 증착을 위해 전구체 가스를 프로세스 챔버의 하부 부분에 제공하기 위해, PECVD(plasma enhanced chemical vapor deposition) 챔버와 같은 프로세스 챔버의 하부 부분에 포지셔닝될 수 있다. 본원에서 설명되는 실시예들은 또한, 프로세스 챔버를 시즈닝(seasoning)하기 위한 방법을 포함한다. 방법은 제1 전구체를 제1 가스 유입구를 통해 프로세스 챔버 내로 유동시키는 단계, 및 시즈닝 전구체를 링 형상 가스 분배기를 통해 프로세스 챔버 내로 유동시키는 단계를 포함한다. 제1 및 제2 전구체는 이온화되고 반응하여 프로세스 챔버 내에 시즈닝 재료를 증착시킬 수 있다.
[0017] 도 1은 본원에서 설명되는 일 실시예에 따른 프로세스 챔버(100)의 개략도이다. 일 예에서, 프로세스 챔버(100)는 HDP-CVD(high density plasma chemical vapor deposition) 시스템일 수 있다. 프로세스 챔버(100)는 챔버 바디(116), 기판 지지부(106), 및 돔 리드 어셈블리(115)를 포함하며, 돔 리드 어셈블리(115)는 돔(102), 유도성 어셈블리(112) 및 온도 제어 플레이트(110)를 포함한다. 돔(102)은 챔버 바디(116) 상에 포지셔닝된다. 돔(102) 및 챔버 바디(116)는 프로세스 챔버(100)의 내부 볼륨을 정의한다. 기판 지지부(106)는 프로세스 챔버(100)의 내부 볼륨 내에 로케이팅되고 프로세싱 동안 기판 지지부(106) 상에 기판(190)을 지지한다. 기판 지지 아암(substrate support arm)(108)이 기판 지지부(106)에 커플링되어 기판 지지부(106)의 지지 및 작동을 가능하게 한다. 액추에이터(134)는 벨로우즈(136)를 통해 기판 지지 아암(108)에 커플링된다. 액추에이터(134)는, 기판 이송 프로세스 동안 기판 이송 로봇(도시되지 않음)과 기판 지지부(106) 사이의 기판 이송을 가능하게 하기 위해, 기판 지지 아암(108) 및 기판 지지 아암(108)에 커플링된 기판 지지부(106)를 수직으로 작동시킨다.
[0018] 돔(102)은 프로세스 챔버(100) 내에 로케이팅된 플라즈마 프로세싱 구역(104)의 상부 경계를 정의한다. 돔(102)은 알루미늄, 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 유전체 재료로 제조될 수 있다. 플라즈마 프로세싱 구역(104)의 하부 경계는 기판 지지부(106)의 상부 표면에 의해 정의된다. 프로세싱 동안, 플라즈마 또는 다른 이온화된 가스가 플라즈마 프로세싱 구역(104) 내에서 생성되어 기판(190)의 프로세싱을 가능하게 할 수 있다. 예컨대, 플라즈마는 HDP-CVD, PECVD(plasma-enhanced chemical vapor deposition) 또는 PE-ALD(plasma enhanced atomic layer deposition) 프로세스에서 기판(190) 상에 재료를 증착하기 위해 플라즈마 프로세싱 구역(104)에서 생성될 수 있다.
[0019] 하나 또는 그 초과의 유도성 코일들(114)을 포함하는 유도성 어셈블리(112)는 플라즈마 프로세싱 구역(104)에서의 플라즈마 생성을 가능하게 하기 위해 돔(102) 위에 포지셔닝된다. 전력원(도시되지 않음)은 전력을 하나 또는 그 초과의 유도성 코일들(114)에 제공하기 위해 유도성 어셈블리(112)에 커플링될 수 있다. 동작 동안, 복수의 유도성 코일들(114)은, 전구체 또는 프로세스 가스들을 이온화하기 위해 플라즈마 프로세싱 구역(104) 내에 로케이팅된 하나 또는 그 초과의 전구체 또는 프로세스 가스들에 RF(radio frequency) 전력을 제공할 수 있다. 일 예에서, 복수의 유도성 코일들(114)은 공칭적으로 2 MHz에서 최대 5,000 와트의 RF 전력을 제공할 수 있다. 복수의 유도성 코일들(114)의 동작 주파수는 플라즈마-생성 효율성을 개선하기 위해 공칭적인 동작 주파수로부터 오프셋될 수 있다. 온도 제어 플레이트(110)는 유도성 어셈블리(112) 위에 포지셔닝되고 유도성 어셈블리(112)를 통해 돔(102)에 열적으로 커플링된다. 온도 제어 플레이트(110)는 대략 100℃ 내지 200℃의 범위에 걸쳐 대략 ± 10℃ 이내의 돔 온도의 제어를 허용한다. 온도 제어 플레이트(110)는 다양한 프로세스들에 대한 돔 온도의 최적화를 가능하게 한다. 일 예에서, 온도 제어 플레이트(110)에 의해 제공되는 온도 제어에 추가하여 또는 그에 대한 대안으로서 유도성 코일들의 냉각을 가능하게 하기 위해, 액체 냉각제가 유도성 코일들(114)을 통해 유동할 수 있다.
[0020] 프로세스 챔버(100)는 HDP-CVD, PECVD 또는 PEALD 프로세스와 같은 프로세싱 동안 활용되는 하나 또는 그 초과의 전구체 또는 프로세스 가스들을 저장하도록 적응된 가스 패널(130)을 포함한다. 전구체 또는 프로세스 가스들은, 돔 리드 어셈블리(115)에 장착되는 하나 또는 그 초과의 가스 유입구들(128)(2개의 가스 유입구들이 128a, 128b로 도시됨)을 통해 플라즈마 프로세싱 구역(104)에 유입될 수 있다. 하나 또는 그 초과의 가스 유입구들(128a, 128b)은 하나 또는 그 초과의 가스 공급 라인들(132)(그 중 하나가 도시됨)을 통해 가스 패널(130)에 커플링된다. 일 실시예에서, 하나 또는 그 초과의 가스 유입구들(128)은 단일 가스 링으로서 구성될 수 있다. 다른 실시예에서, 하나 또는 그 초과의 가스 유입구들(128)은 최상부 배플(top baffle)로서 구성될 수 있다. 프로세싱 동안 사용되는 전구체 가스 또는 다른 가스와 같은 프로세스 가스, 또는 그것의 반응 부산물들은 펌핑 시스템(122)에 의해 프로세스 챔버(100)로부터 배기될 수 있다. 펌핑 시스템(122)은 프로세스 챔버(100)의 하부 부분에 접합되며 스로틀 밸브(124) 및 펌프(126)를 포함한다. 스로틀 밸브(124)는 펌프(126)를 챔버 바디(116)에 커플링시킨다. 스로틀 밸브(124)는, 펌프(126)를 통해 프로세스 챔버(100)를 퇴장하는(exiting) 배기 유량을 제한함으로써, 챔버 압력을 제어하도록 활성화될 수 있다.
[0021] 링 형상 가스 분배기(118)는 스로틀 밸브(124)와 기판 지지부(106) 사이의 챔버 바디(116)의 하부 부분에 포지셔닝된다. 링 형상 가스 분배기(118)는 프로세스 챔버(100)의 하부 부분에서 프로세스 또는 전구체 가스들을 분배하기 위해 하나 또는 그 초과의 가스 입구 포트들 및 가스 분배 포트들을 갖는 중공 튜브형 링(hollow tubular ring)을 포함한다. 링 형상 가스 분배기(118)는 어태치먼트(attachment)(120)에 의해 챔버 바디(116)의 내부 표면(105)에 부착될 수 있다. 어태치먼트(120)는 스프링-로딩된 클립(spring-loaded clip), 브래킷, 파스너 등일 수 있다. 전구체 또는 프로세스 가스들은 제2 가스 패널(140)로부터 가스 공급 라인(138)을 통해 링 형상 가스 분배기(118)에 공급된다. 가스 공급 라인(138)은 기판 지지 아암(108)에 인접한 벨로우즈(136)를 통해 라우팅될 수 있다. 대안적으로, 가스 공급 라인(138)은 가스 유입구들(128a, 128b)을 통해 라우팅될 수 있다.
[0022] 프로세싱 동안 사용되는 전구체 가스 또는 다른 가스와 같은 프로세스 가스는, 챔버 바디(116)의 내부 표면(105) 상에서의 시즈닝 층의 형성을 가능하게 하기 위해, 제2 가스 패널(140)로부터 링 형상 가스 분배기(118)에 공급되고, 프로세스 챔버(100)의 하부 부분에 분배된다. 링 형상 가스 분배기(118)는 종래의 접근법들보다 더 균일한 시즈닝 층을 형성하기 위해 가스들을 프로세스 챔버(100)의 하부 부분에 균일하게 분배하도록 적응되며, 그에 따라, 원하지 않는 아킹의 가능성을 감소시킨다. 링 형상 가스 분배기(118)는 챔버 바디(116)의 내부 표면(105)의 직경보다 더 작은 직경을 가질 수 있다. 일 예에서, 링 형상 가스 분배기는 내부 표면(105)의 직경보다 대략 3 퍼센트 내지 대략 20 퍼센트 더 작은 직경을 가질 수 있다. 링 형상 가스 분배기(118)는 금속, 금속 합금, 또는 세라믹 재료로 제조될 수 있다. 일 실시예에서, 링 형상 가스 분배기(118)는 금속 오염을 방지하기 위해 챔버 바디(116)와 동일한 재료로 제조된다. 다른 실시예에서, 링 형상 가스 분배기(118)는 알루미늄 산화물로 제조될 수 있다. 다른 실시예에서, 링 형상 가스 분배기(118)는 알루미늄 질화물로 제조될 수 있다. 또 다른 실시예에서, 링 형상 가스 분배기(118)는 알루미늄으로 제조될 수 있다.
[0023] 도 2는 링 형상 가스 분배기(118)의 개략도이다. 링 형상 가스 분배기(118)는 중공 링 형상 바디(250)를 포함하는 링 형상 가스 분배기이며, 중공 링 형상 바디(250)는 중공 링 형상 바디(250)의 대향 측들 상에 배치된 2개의 가스 입구 포트들(254a 및 254b) 및 링 형상 바디(250)의 제 1 표면 상에 배치된 복수의 가스 분배 포트들(253)을 갖는다. 일 예에서, 링 형상 바디(250)의 상부 절반은 도 1에 도시된 프로세스 챔버(100)의 내부 표면(105)의 시즈닝을 가능하게 하기 위해서 가스를 상방향으로 지향시키기 위한 가스 분배 포트들(253)을 포함할 수 있다. 일 실시예에서, 복수의 가스 분배 포트들(253) 각각은 직경이 대략 0.5 밀리미터(mm) 내지 대략 3 mm인데, 이를테면 직경이 대략 1 mm이다.
[0024] 복수의 가스 분배 포트들(253)은 복수의 로우들(252a, 252b)(복수의 로우들 중 2개가 라벨링됨)로 배열될 수 있다. 로우(252a)는 제1 로우를 나타낼 수 있고, 로우(252b)는 제2 로우를 나타낼 수 있다. 복수의 로우들은 바디(250)의 원주 둘레에 동심원들로 균일하게 분포될 수 있다. 동심원들의 로우들의 분포를 도시하기 위해 로우들(252a 및 252b)의 포트들(253) 사이에 라인들이 포함되었다. 각각의 로우를 포함하는 포트들(253)은 또한, 바디(250)의 중심선과 방사상으로 정렬될 수 있다. 제1 로우(252a)의 복수의 가스 분배 포트들(253)은 퇴장 가스(exiting gas)를 제2 로우(252b)와 같은 인접한 로우들의 출구 각도(exit angle)와 상이한 각도로 지향시키도록 포지셔닝된다. 다시 말해, 복수의 가스 분배 포트들의 각각의 로우(252)는 퇴장 가스를 인접한 로우들과 상이한 각도로 지향시키도록 적응된다. 다른 예에서, 특정 로우의 가스 분배 포트들(253)은 트리플렛(triplet)들로 그룹화될 수 있으며, 트리플렛의 각각의 가스 분배 포트는 상이한 가스 출구 각도를 갖는다. 3개의 상이한 각도들은 로우(252a) 또는 로우(252b)와 같은 단일 로우의 가스 분배 포트들(253)의 각각의 트리플렛에 대해 반복될 수 있다. 인접한 로우들(252a, 252b) 사이의 또는 인접한 가스 분배 포트들(253) 사이의 출구 각도의 변동들은 가스 분배 디바이스(218)의 제1 표면의 직경에 의해 제어될 수 있다. 출구 각도들의 상대적 차이는 대략 15° 내지 대략 30°일 수 있다. 일 실시예에서, 복수의 가스 분배 포트들(253)의 각각의 가스 분배 포트(253) 사이의 간격은 대략 1 센티미터(cm) 내지 대략 3 cm, 이를테면, 대략 1 cm이다.
[0025] 도 2가 링 형상 가스 분배기(118)의 일 실시예를 예시하지만, 다른 실시예들이 또한 고려된다. 다른 실시예에서, 링 형상 가스 분배기(118)는 2개 초과의 또는 2개 미만의 가스 입구 포트들(254a, 254b)을 포함할 수 있다. 이러한 예에서, 가스 입구 포트들은 링 형상 가스 분배기(118)의 외측 원주 둘레에 균일한 인터벌들로 포지셔닝될 수 있다. 부가적으로, 가스 분배 포트들(253)이 불균일하게 분포되어 프로세스 챔버(100) 내의 가스 유동에 영향을 미칠 수 있는 것이 고려된다. 또한, 인접한 로우들(252a, 252b)은 또한 불균일하게 분포될 수 있다. 불균일한 분포는 프로세스 챔버(100)의 비대칭적 피처(feature)들 또는 프로세스 챔버(100) 내의 가스 유동 프로파일들의 차이들을 보상할 수 있다. 더욱이, 가스 분배기(118)가 링 형상 바디를 갖는 것으로 예시되지만, 프로세스 챔버 내의 가스 유동에 영향을 미치기 위해 타원형과 같은 다른 형상들이 활용될 수 있다는 것이 고려된다.
[0026] 도 3은 본원에서 설명되는 일 실시예에 따른 방법(360)의 흐름도를 예시한다. 본 개시내용의 실시예들의 이해를 가능하게 하기 위해, 도 3은 도 1과 함께 논의될 것이다. 방법(360)은 프로세스 챔버(100)의 내부 표면들(105) 상에 시즈닝 재료를 증착시키는 데 활용될 수 있다. 방법(360)은 동작(362)에서 시작된다. 동작(362)에서, 프로세싱 가스, 예컨대 제1 전구체는 가스 유입구들(128a 또는 128b) 중 하나 또는 둘 모두를 통해 프로세스 챔버(100) 내로 유입된다. 제1 전구체는 산소 전구체 또는 질소 전구체일 수 있다. 예시적 제1 전구체들은 물(H2O), 오존(O3), 산소(O2), 질소(N2), 및 암모니아(NH3)를 포함한다(그러나 이에 제한되지 않음). 동작(364)에서, 제1 전구체는 이온화된다. 이온화된 제1 전구체는 프로세스 챔버(100)의 내부 표면(105) 상에 단층(monolayer)을 형성한다.
[0027] 동작(366)에서, 제2 전구체는 링 형상 가스 분배기(118)를 통해 프로세스 챔버(100) 내로 유입된다. 유입된 링 형상 가스 분배기(118)는, 제2 전구체가 기판 지지부(106) 위에 배치된 가스 유입구들(128a, 128b)을 통해 단독으로 유입되는 경우에는 일반적으로 도달불가능하거나 또는 불충분하게 도달되는 챔버의 부분들에 걸쳐 전구체들을 지향시킨다. 제2 전구체 재료는 알루미늄-함유 전구체 또는 임의의 다른 금속-함유 전구체일 수 있다. 예시적 제2 전구체들은 트리메틸알루미늄(TMA) 또는 알루미늄 염화물(AlCl3)을 포함한다(그러나 이에 제한되지 않음). 동작(368)에서, 제2 전구체는 이온화된다.
[0028] 동작(370)에서, 이온화된 제2 전구체는 내부 표면(105) 상에 형성된 제1 전구체의 단층에 흡착(adsorb)되거나 또는 그 단층과 반응한다. 제2 단층의 흡수(absorption) 또는 반응은 프로세스 챔버(100)의 챔버 바디(116)의 내부 표면(105) 상에서의 시즈닝 재료의 형성을 유발한다. 시즈닝 재료는, 다음의 특징들, 즉, (1) 높은 파괴 전압, 이를테면 7 MV/cm 초과, (2) 플루오르(F) 라디칼들에 대해 내성인 것, (3) 최소 입자 오염 우려(minimal particle contamination concern), 또는 (4) 최소 금속 오염 우려(minimal metal contamination concern) 중 하나 또는 그 초과를 갖는 임의의 유전체 재료를 포함할 수 있다. 일 실시예에서, 시즈닝 재료는 알루미늄 산화물(Al2O3)이다. 다른 실시예에서, 시즈닝 재료는 알루미늄 질화물(AlN)이다. 시즈닝 재료는 아킹을 방지하는 두께, 예컨대 대략 0.1 미크론 내지 대략 10 미크론, 이를테면, 대략 1 미크론 내지 대략 3 미크론으로 증착될 수 있다. 일 예에서, 방법(360)은 ALD 프로세스일 수 있고, 시즈닝 재료는 증착 사이클 당 대략 5 옹스트롬 내지 대략 10 옹스트롬의 두께로 증착될 수 있다. 이러한 예에서, 동작들(362 내지 370)은, 바람직한 두께의 시즈닝 재료가 형성될 때까지 반복된다.
[0029] 일 예에서, 방법(360)은 PECVD 또는 PE-ALD 프로세스일 수 있다. PE-ALD 프로세스에서, 라디칼들은 HDP RF 소스에 의해 생성된다. PE-ALD는, 챔버 벽 또는 다른 챔버 컴포넌트들의 상대적 저온 한계 미만인(<100℃) 비교적 낮은 온도들에서 발생한다. 방법(360)은 예방적 유지보수 동안, 정정 유지보수 동안, 또는 다르게는 챔버 바디와 같은 모든 금속성 부분들이 실질적으로 챔버 아킹을 방지하도록 충분히 코팅되는 것을 확실히 하기 위해 필요에 따라 발생할 수 있다. 일 예에서, 방법(360)은 박막이 기판(190) 상에 형성되는 박막 형성 프로세스 이후에 발생할 수 있다.
[0030] 도 4a는 본원에서 설명되는 다른 실시예에 따른 프로세스 챔버(480)의 일 부분의 개략도이다. 프로세스 챔버(480)는 프로세스 챔버(100)와 실질적으로 유사하지만, 링 형상 가스 분배기(118) 대신에 링 형상 가스 분배기(418)를 포함한다. 가스 분배기(418)는 챔버 바디(116)에 커플링된다. 가스 분배기(418)는 챔버 바디(116)의 내부 표면(105)과 맞물리는 테이퍼링된 외부 측벽들을 포함한다. 일 예에서, 가스 분배기(418)의 외부 측벽의 직경은, 가스 분배기(418)의 하부 부분과 비교하여 가스 분배기(418)의 최상부 부분에서 더 크다. 가스 분배기(418)의 측벽의 테이퍼(taper)의 정도(degree)는 내부 표면(105)의 테이퍼와 동일하거나 유사하도록 선택될 수 있다. 이러한 예에서, 가스 분배기(418)는 웨지 피트(wedge fit)를 통해 제 포지션에 유지될 수 있으며, 그에 따라, 가스 분배기(418)의 신속한 유지보수 또는 교환을 가능하게 한다. 전구체 또는 프로세스 가스들은, 가스 분배기(418) 상에 배치된 적어도 하나의 가스 입구 포트와 상호작용하는 가스 공급 라인(138)을 통해 링 형상 가스 분배기(418)에 공급된다. 1개 초과의 가스 공급 라인(138)이 활용될 수 있다는 것이 고려된다.
[0031] 도 4b는 일 실시예에 따른 링 형상 가스 분배기(418)의 개략적 단면도이다. 링 형상 가스 분배기(418)는 링 형상 가스 분배 바디(450)를 갖는다. 링 형상 가스 분배 바디(450)는 제1 곡선형 표면(456) 및 제2 평평한 표면(458)을 포함한다. 복수의 가스 분배 포트들(453a, 453b, 및 453c)(3개가 도시됨)은 링 형상 가스 분배 바디(450)의 제1 곡선형 표면(456) 상에 배치되고, 프로세스 가스를 프로세스 챔버 내의 위치들로 지향시키도록 적응된다. 링 형상 가스 분배 바디(450)의 제1 곡선형 표면(456)은 챔버 바디(116)와 맞물리는 제2 평평한 표면(458) 반대편에 포지셔닝된다. 제2 평평한 표면(458)은 대략 5 mm 내지 대략 30 mm의 높이(459)를 갖는다. 일 예에서, 링 형상 가스 분배 바디(450)의 제1 곡선형 표면(456)은 도 4a에 도시된 챔버(480)의 내부 표면(105)의 시즈닝을 가능하게 하기 위해 가스를 내측 방향으로 지향시키기 위한 가스 분배 포트들(453a, 453b, 453c)을 포함할 수 있다. 일 실시예에서, 복수의 가스 분배 포트들(453a, 453b, 453c) 각각은 직경이 대략 0.5 mm 내지 대략 3 mm인데, 이를테면 직경이 대략 1 mm이다.
[0032] 복수의 가스 분배 포트들(453a, 453b, 453c)은 복수의 로우들로 배열될 수 있다. 로우들은 예컨대, 제1 곡선형 표면(456)에 걸쳐 링 형상 가스 바디(450) 둘레에 균일하게 분포될 수 있다. 도 4b의 가스 분배 포트들(453a, 453b, 및 453c)은 제1 로우로 구성될 수 있다. 제1 가스 분배 포트(453a)는 제2 가스 분배 포트(453b)와 상이한 각도로 퇴장 가스를 지향시키도록 포지셔닝된다. 제3 가스 분배 포트(453c)는 또한, 제2 가스 분배 포트(453b)와 상이한 그리고 제1 포트(453a)와 상이한 각도로 퇴장 가스를 지향시키도록 포지셔닝될 수 있다. 게다가, 다른 예에서, 복수의 가스 분배 포트들(453a, 453b, 453c)의 각각의 로우는 퇴장 가스를 인접한 로우들과 상이한 각도로 지향시키도록 적응될 수 있다. 가스 분배 포트들(453a, 453b, 453c) 사이의 출구 각도의 변동들은 가스 분배기(418)의 제1 곡선형 표면(456)의 직경에 의해 제어될 수 있다. 인접한 가스 분배 포트들(453a, 453b, 453c) 사이의 출구 각도들의 상대적 차이는 대략 15° 내지 대략 30°일 수 있다. 일 실시예에서, 인접한 가스 분배 포트들(453a, 453b, 453c) 사이의 간격은 대략 1 cm 내지 대략 3 cm, 이를테면, 대략 1 cm일 수 있다.
[0033] 본 개시내용의 이익들은 챔버 아킹 및 아킹 관련 결함들의 감소뿐만 아니라, 아킹에 취약한 챔버의 부분들에서의 시즈닝 재료의 증착을 통한 챔버 수명 증가 및 유지보수 비용들의 감소를 포함한다.
[0034] 본원의 설명이 HDP-CVD 챔버를 참조하여 이루어졌지만, 본원의 개시내용들은 PECVD 프로세스 챔버들, 식각 프로세스 챔버들 및 PE-ALD 프로세스 챔버들과 같은 다른 반도체 장비 툴들에 또한 적용가능하다는 것이 이해되어야 한다.
[0035] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 링 형상 가스 분배기로서,
    링 형상 바디 ― 상기 링 형상 바디는 상기 링 형상 바디의 제1 측 상에 배치된 적어도 하나의 가스 입구 포트를 가짐 ―; 및
    상기 링 형상 바디의 제1 표면 상에 배치된 복수의 가스 분배 포트들을 포함하고,
    상기 복수의 가스 분배 포트들은 복수의 균일하게 분포된 로우(row)들로 배열되고, 그리고 상기 복수의 균일하게 분포된 로우들 중 제1 로우의 복수의 가스 분배 포트들은, 상기 복수의 균일하게 분포된 로우들 중 제2 로우의 복수의 가스 분배 포트들의 출구 각도(exit angle)와 상이한 출구 각도로 가스를 지향시키도록 적응되는,
    링 형상 가스 분배기.
  2. 제1 항에 있어서,
    상기 링 형상 바디의 제1 표면은 곡선형이고, 그리고 상기 링 형상 바디의 제2 표면은 평평한,
    링 형상 가스 분배기.
  3. 제1 항에 있어서,
    상기 링 형상 바디는 알루미늄, 알루미늄 산화물, 및 알루미늄 질화물로 이루어진 그룹으로부터 선택된 재료를 포함하는,
    링 형상 가스 분배기.
  4. 제1 항에 있어서,
    상기 복수의 가스 분배 포트들 각각은 대략 0.5 밀리미터 내지 대략 3 밀리미터의 직경을 갖는,
    링 형상 가스 분배기.
  5. 제1 항에 있어서,
    상기 복수의 균일하게 분포된 로우들은 대략 1 센티미터 내지 대략 3 센티미터만큼 이격되는,
    프로세스 챔버.
  6. 프로세스 챔버로서,
    챔버 바디;
    상기 챔버 바디 상에 배치된 돔 리드 어셈블리(dome lid assembly);
    상기 돔 리드 어셈블리 상에 장착된 가스 유입구;
    상기 챔버 바디 내에 포지셔닝된 기판 지지부;
    상기 돔 리드 어셈블리 상에 장착된 가스 유입구; 및
    상기 기판 지지부 아래에 포지셔닝된 링 형상 가스 분배기를 포함하고,
    상기 링 형상 가스 분배기는,
    링 형상 바디; 및
    상기 링 형상 바디의 제1 표면 상에 배치된 복수의 가스 분배 포트들을 포함하는,
    프로세스 챔버.
  7. 제6 항에 있어서,
    상기 링 형상 바디는 알루미늄을 포함하는,
    프로세스 챔버.
  8. 제6 항에 있어서,
    상기 링 형상 가스 분배기의 복수의 가스 분배 포트들 각각은 대략 0.5 밀리미터 내지 대략 3 밀리미터의 직경을 갖는,
    프로세스 챔버.
  9. 제6 항에 있어서,
    복수의 균일하게 분포된 로우들은 대략 1 센티미터 내지 대략 3 센티미터만큼 이격되는,
    프로세스 챔버.
  10. 제6 항에 있어서,
    적어도 하나의 가스 입구 포트를 더 포함하고,
    상기 가스 입구 포트는 상기 링 형상 바디의 제1 측 상에 배치되는,
    프로세스 챔버.
  11. 방법으로서,
    제1 전구체(precursor)를 제1 가스 유입구를 통해 프로세스 챔버 내로 유동시키는 단계;
    상기 제1 전구체를 이온화하는 단계;
    제2 전구체를, 상기 제2 전구체를 분배하도록 적응된 링 형상 바디를 통해 상기 프로세스 챔버 내로 유동시키는 단계 ― 상기 제2 전구체는 상기 제1 전구체와 상이함 ―;
    상기 제2 전구체를 이온화하는 단계; 및
    이온화된 제1 전구체 및 이온화된 제2 전구체로부터의 시즈닝 재료(seasoning material)를 상기 프로세스 챔버의 내부 표면 상에 증착하는 단계를 포함하는,
    방법.
  12. 제11 항에 있어서,
    상기 제1 전구체는 H2O, 오존, 산소, N2 또는 NH3를 포함하는,
    방법.
  13. 제11 항에 있어서,
    상기 제2 전구체는 금속-함유 전구체인,
    방법.
  14. 제11 항에 있어서,
    상기 제2 전구체는 트리메틸알루미늄 또는 AlCl3를 포함하는,
    방법.
  15. 제11 항에 있어서,
    상기 시즈닝 재료는 Al2O3 또는 AlN이고, 그리고 상기 시즈닝 재료는 대략 0.1 미크론 내지 대략 10 미크론의 두께로 증착되는,
    방법.
KR1020177033238A 2015-12-04 2016-10-26 Hdp-cvd 챔버 아킹을 방지하기 위한 첨단 코팅 방법 및 재료들 KR20180080993A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562263491P 2015-12-04 2015-12-04
US62/263,491 2015-12-04
US201662299790P 2016-02-25 2016-02-25
US62/299,790 2016-02-25
PCT/US2016/058923 WO2017095561A1 (en) 2015-12-04 2016-10-26 Advanced coating method and materials to prevent hdp-cvd chamber arcing

Publications (1)

Publication Number Publication Date
KR20180080993A true KR20180080993A (ko) 2018-07-13

Family

ID=58797843

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177033238A KR20180080993A (ko) 2015-12-04 2016-10-26 Hdp-cvd 챔버 아킹을 방지하기 위한 첨단 코팅 방법 및 재료들

Country Status (6)

Country Link
US (2) US10208380B2 (ko)
JP (1) JP7166759B2 (ko)
KR (1) KR20180080993A (ko)
CN (2) CN108292588B (ko)
TW (2) TWI742537B (ko)
WO (1) WO2017095561A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US11532459B2 (en) * 2017-11-09 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus with cleaning gas flow guiding member
JP2022107873A (ja) * 2021-01-12 2022-07-25 東京エレクトロン株式会社 基板処理装置及びクリーニング方法

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS61222534A (ja) * 1985-03-28 1986-10-03 Anelva Corp 表面処理方法および装置
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JP2654996B2 (ja) * 1988-08-17 1997-09-17 東京エレクトロン株式会社 縦型熱処理装置
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5188671A (en) * 1990-08-08 1993-02-23 Hughes Aircraft Company Multichannel plate assembly for gas source molecular beam epitaxy
DE4106770C2 (de) * 1991-03-04 1996-10-17 Leybold Ag Verrichtung zum reaktiven Beschichten eines Substrats
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
JPH05217929A (ja) * 1992-01-31 1993-08-27 Tokyo Electron Tohoku Kk 酸化拡散処理装置
US5592581A (en) * 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR0139876B1 (ko) * 1993-09-14 1998-08-17 사토 후미오 금속산화막의 형성방법
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3699142B2 (ja) * 1994-09-30 2005-09-28 アネルバ株式会社 薄膜形成装置
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
JP3181501B2 (ja) * 1995-10-31 2001-07-03 東京エレクトロン株式会社 処理装置および処理方法
WO1997031389A1 (fr) * 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
CN1164122A (zh) * 1996-03-01 1997-11-05 株式会社日立制作所 等离子处理机及其处理方法
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
AU3145197A (en) * 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
JP3270730B2 (ja) * 1997-03-21 2002-04-02 株式会社日立国際電気 基板処理装置及び基板処理方法
DE69807006T2 (de) * 1997-05-22 2003-01-02 Canon Kk Plasmabehandlungsvorrichtung mit einem mit ringförmigem Wellenleiter versehenen Mikrowellenauftragsgerät und Behandlungsverfahren
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6060132A (en) 1998-06-15 2000-05-09 Siemens Aktiengesellschaft High density plasma CVD process for making dielectric anti-reflective coatings
KR20000002834A (ko) * 1998-06-23 2000-01-15 윤종용 에어커튼이 형성되는 반도체 제조용 확산설비 및 이를 제어하는방법
US6365016B1 (en) * 1999-03-17 2002-04-02 General Electric Company Method and apparatus for arc plasma deposition with evaporation of reagents
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
DE19943064B4 (de) * 1999-09-09 2013-01-31 Robert Bosch Gmbh Verfahren zur epitaktischen Abscheidung von Atomen oder Molekülen aus einem Reaktivgas auf einer Abscheidungsoberfläche eines Substrats
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
US6325855B1 (en) * 2000-08-09 2001-12-04 Itt Manufacturing Enterprises, Inc. Gas collector for epitaxial reactors
US6666920B1 (en) * 2000-08-09 2003-12-23 Itt Manufacturing Enterprises, Inc. Gas collector for providing an even flow of gasses through a reaction chamber of an epitaxial reactor
US6716289B1 (en) * 2000-08-09 2004-04-06 Itt Manufacturing Enterprises, Inc. Rigid gas collector for providing an even flow of gasses
US6446572B1 (en) * 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
KR20020095842A (ko) * 2001-06-16 2002-12-28 삼성전자 주식회사 반도체 에싱장치
KR100443908B1 (ko) * 2001-10-25 2004-08-09 삼성전자주식회사 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
JP3771865B2 (ja) * 2002-05-08 2006-04-26 三菱重工業株式会社 金属膜作製装置及び金属膜作製方法
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
KR100484945B1 (ko) * 2002-08-12 2005-04-22 주성엔지니어링(주) 멀티 홀 앵글드 가스분사 시스템을 갖는 반도체소자 제조장치
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US6914007B2 (en) 2003-02-13 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ discharge to avoid arcing during plasma etch processes
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
KR100561848B1 (ko) 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
CN100376723C (zh) * 2005-12-08 2008-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 增进流场均匀性的屏蔽板
US7989366B2 (en) 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
CN100527361C (zh) * 2006-12-06 2009-08-12 北京北方微电子基地设备工艺研究中心有限责任公司 气体分布装置
US7789993B2 (en) * 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7745350B2 (en) 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
US8329593B2 (en) * 2007-12-12 2012-12-11 Applied Materials, Inc. Method and apparatus for removing polymer from the wafer backside and edge
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
JP4634495B2 (ja) * 2008-11-12 2011-02-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR100998011B1 (ko) * 2008-05-22 2010-12-03 삼성엘이디 주식회사 화학기상 증착장치
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
US20110240598A1 (en) * 2008-11-18 2011-10-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
KR101095172B1 (ko) * 2009-10-01 2011-12-16 주식회사 디엠에스 플라즈마 반응 챔버의 사이드 가스 인젝터
CN102762767B (zh) * 2010-03-12 2015-11-25 应用材料公司 具有多重注射道的原子层沉积腔室
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN102789962A (zh) * 2011-05-18 2012-11-21 中国科学院微电子研究所 一种用于改善刻蚀工艺的匀气装置
US20130068161A1 (en) * 2011-09-15 2013-03-21 Applied Materials, Inc. Gas delivery and distribution for uniform process in linear-type large-area plasma reactor
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
WO2014092856A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9583377B2 (en) * 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging

Also Published As

Publication number Publication date
CN108292588B (zh) 2022-02-18
JP2018537580A (ja) 2018-12-20
TW201730365A (zh) 2017-09-01
US20170159176A1 (en) 2017-06-08
US10208380B2 (en) 2019-02-19
CN114551206A (zh) 2022-05-27
TWI689612B (zh) 2020-04-01
WO2017095561A1 (en) 2017-06-08
CN108292588A (zh) 2018-07-17
TWI742537B (zh) 2021-10-11
TW202035770A (zh) 2020-10-01
JP7166759B2 (ja) 2022-11-08
US10655223B2 (en) 2020-05-19
US20190169743A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
US10276353B2 (en) Dual-channel showerhead for formation of film stacks
US20090250004A1 (en) Gas Head and Thin-Film Manufacturing Apparatus
US8821641B2 (en) Nozzle unit, and apparatus and method for treating substrate with the same
US11078568B2 (en) Pumping apparatus and method for substrate processing chambers
US10655223B2 (en) Advanced coating method and materials to prevent HDP-CVD chamber arcing
US10515843B2 (en) Amalgamated cover ring
US11598003B2 (en) Substrate processing chamber having heated showerhead assembly
WO2018042756A1 (ja) 原子層成長装置および原子層成長方法
US20140251540A1 (en) Substrate supporter and substrate processing apparatus including the same
KR20180063345A (ko) 반도체 제조의 웨이퍼 처리를 위한 고 생산성 pecvd 툴
US11222771B2 (en) Chemical control features in wafer process equipment
CN108998776B (zh) 通过独立控制teos流量的沉积径向和边缘轮廓可维持性
CN109321894B (zh) 一种增强清洗效果的沉积系统及方法
US20220165567A1 (en) Systems and methods for deposition residue control
JP2024514139A (ja) 処理チャンバ用の改良されたアイソレータ
KR20220104733A (ko) 고온 이중 채널 샤워헤드
KR20200078383A (ko) 기판 프로세싱 챔버들 및 기판 프로세싱 챔버들을 배기시키는 방법들
JP2019163497A (ja) 成膜装置およびそれに用いる載置台

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right