KR101411674B1 - 포토레지스트 스트립 및 포스트 금속 식각 패시배이션을 위한 고온 챔버 공정 및 챔버 설계 - Google Patents

포토레지스트 스트립 및 포스트 금속 식각 패시배이션을 위한 고온 챔버 공정 및 챔버 설계 Download PDF

Info

Publication number
KR101411674B1
KR101411674B1 KR1020097008606A KR20097008606A KR101411674B1 KR 101411674 B1 KR101411674 B1 KR 101411674B1 KR 1020097008606 A KR1020097008606 A KR 1020097008606A KR 20097008606 A KR20097008606 A KR 20097008606A KR 101411674 B1 KR101411674 B1 KR 101411674B1
Authority
KR
South Korea
Prior art keywords
chamber body
inner chamber
gas
chamber
temperature
Prior art date
Application number
KR1020097008606A
Other languages
English (en)
Other versions
KR20090080510A (ko
Inventor
잉-옌 알버트 왕
로버트 케비
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090080510A publication Critical patent/KR20090080510A/ko
Application granted granted Critical
Publication of KR101411674B1 publication Critical patent/KR101411674B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

반도체 기판 상에 형성된 포토레지스트층을 패시배이션 및/또는 스트립하는 진공 챔버. 그 진공 챔버는 기판을 둘러싸는 공동을 형성하고 복수의 가스 통로들을 갖으며, 가스 통로들을 통해 공동으로 확장하는 내부 챔버 보디와 내부 챔버 보디를 가열하는 하나 이상의 히터들을 포함한다. 내부 챔버 보디는 외부 챔버 보디 상에 슬라이딩 가능하게 장착되며, 외부 챔버 보디는 내부 챔버 보디와의 사이에 간극을 가지고 내부 챔버 보디의 측면을 둘러싼다. 또한, 진공 챔버는 공동으로부터 가스를 펌핑하도록 동작하는 배출 유닛; 내부 챔버 보디 상에 장착되어 내부 챔버 보디와의 사이에 간극을 가지고 내부 챔버 보디의 상면을 피복하며 가스 통로들과 유체 연통하는 개구를 구비하는 챔버 상부; 및 가스를 플라즈마 상태로 활성화하도록 동작하고 공동과 유체 연통하기 위해 개구에 연결된 플라즈마 소스를 포함한다.
Figure R1020097008606
포토레지스트, 스트립, 진공 챔버, 가스 분배 부재

Description

포토레지스트 스트립 및 포스트 금속 식각 패시배이션을 위한 고온 챔버 공정 및 챔버 설계{HIGH CHAMBER TEMPERATURE PROCESS AND CHAMBER DESIGN FOR PHOTO-RESIST STRIPPING AND POST-METAL ETCH PASSIVATION}
집적 회로들은 웨이퍼 또는 기판으로부터 형성되며, 웨이퍼 또는 기판 상부에는 패터닝된 마이크로전자층들이 형성되어 있다. 집적 회로 제조 공정에 있어서, 이전에 증착된 블랭킷 층들로부터 상기 패터닝된 층들을 형성하기 위해 마스크 층들로서 패터닝된 포토레지스트층들이 채용되는 것이 일반적이다. 기판 상에 패터닝된 층들 중 하나의 패터닝된 층을 형성한 후 다음 공정을 지속하기 전에, 대응 포토레지스트층이 스트립퍼 챔버 또는 애셔 (asher) 에서 기판으로부터 제거될 수도 있다.
포토레지스트 스트립은 반도체 제조 주조 시 종종 사용되기 때문에, 스트립퍼 또는 스트립퍼 챔버는 매우 짧은 공정 시간을 갖도록, 즉 높은 쓰루풋을 갖도록 설계되어 전체 기판 제조 비용을 감소시킨다. 이로써, 다운스트림 플라즈마 스트립퍼 챔버의 성능은 종종 그 스트립 속도에 의해 결정되며, 스트립 속도는 단위 시간 당 포토레지스트 제거 속도로서 정의된다. 스트립 속도는 기판이 플라즈마에 얼마나 오래 노출되는지를 결정한다. 스트립퍼 챔버 내의 플라즈마는 기판 상의 다양한 회로를 손상시킬 수도 있기 때문에, 스트립 속도를 증가시킴으로써 이온화 가스에 대한 기판의 노출 시간을 최소화하는 것이 바람직하다. 이하, 용어 이온화 가스 및 플라즈마는 호환성있게 사용된다.
도 1은 스트립퍼 챔버의 다운스트림 챔버 (100) 의 개략도를 나타낸다. 도시된 바와 같이, 스트립되는 포토레지스트층을 갖는 기판 (104) 은 웨이퍼 히터 척 (106) 에 의해 유지될 수 있다. 공정 가스는 플라즈마 소스에 의해 플라즈마 (108) 로 활성화되고, 챔버 벽 (102) 의 개구 (110) 를 통해 챔버 (100) 로 진입될 수 있으며, 그래서 하나 이상의 배플들 (baffle) 또는 확산기 (112) 내의 홀들을 통과한다. 배플들 (112) 은 가스를 분산시켜 기판 표면에서의 가스 흐름 균일도를 향상시킬 수도 있다. 일반적으로, 각각의 배플은 다수의 홀들 (122) 을 포함할 수도 있다. 도 2는 도 1의 배플들 (112) 중 하나의 배플에 대한 상면도를 나타낸다. 스트립 균일도 및 스트립 속도는 배플 구성에 크게 의존할 수도 있다. 배플들 내의 홀들 (122) 의 크기 및 위치는 기판 표면에서의 가스 흐름 균일도를 개선하도록 결정될 수도 있다. 예를 들어, 도 2에 도시된 바와 같이, 홀들 (122) 의 크기는 배플들 (112) 의 중앙으로부터 증가하는 거리에 따라 증가할 수도 있는데, 이는 배플들 (112) 의 중앙이 에지보다 더 많은 가스 흐름을 수용할 수 있기 때문이다. 가스를 분산시키기 위한 다른 설계에 있어서, 샤워헤드가 사용될 수도 있다. 그러나, 샤워헤드 내의 홀들의 개수 및 크기는 통상적으로 후방 압력을 생성하는 정도이다. 후방 압력의 생성은 샤워헤드 상의 가스 흐름을 감속시키고 유체 동적 효율을 감소시킨다.
챔버 (100) 의 스트립 속도는 몇몇 인자들에 의해 악영향을 받을 수도 있다. 예를 들어, 가스 또는 플라즈마 (108) 는 개구 (110) 를 통해 흐르는 경우, 팽창하여 챔버 내부의 넓은 공간을 채운다. 이러한 팽창은 가스 온도를 감소시킬 수도 있다. 챔버 온도 및/또는 기판 온도가 증가함에 따라 스트립 속도가 증가하기 때문에, 스트립 속도가 가스 팽창으로 인해 감소할 수도 있다. 게다가, 가스는 배플들 (112) 을 통과하기 때문에 그 열 에너지의 일부를 배플들 (112) 로 전달하며, 이로써 스트립 속도가 동일한 이유로 인해 감소된다. 또한, 재순환 영역 (120) 은 챔버 내부에 형성될 수도 있다. 재순환 영역 (120) 내에서의 흐름 상주 시간은 가스 라디칼들 또는 이온종들의 일부를 중성종들로 재결합시키기에 충분히 클 수도 있다. 재결합 공정은 챔버 벽 (102) 및 배플들 (112) 로 전달될 수 있는 발열성 반응 에너지를 발생할 수도 있다. 또한, 플라즈마와 함께 홀들 (122) 을 통과할 수도 있는 중성종들이 포토레지스트층의 제거에 기여하지 않을 수도 있다.
다중 히터 (116, 도 1에 도시된 바와 같음) 는 챔버 (100) 를 가열하도록 챔버 벽 (102) 내부에 설치될 수도 있다. 일반적으로 종래의 스트립퍼 챔버의 히터들은 단지 벽 증착을 방지하는 레벨로 챔버 온도를 유지하기 위해 사용되며, 결과로서 챔버 온도가 상대적으로 낮게 유지된다. 그러나, 가스 온도를 증가시킴으로써 스트립 속도를 개선시킬 목적으로 스트립퍼 챔버가 가열되게 되려면, 챔버 벽 상 및/또는 열 절연체 (118) 상에 설치된 광대한 가열 및 복잡한 냉각 메커니즘이 반도체 산업 장비 안전 요건, 간단히 SEMI S2를 충족할 것을 요구할 것이다. SEMI S2는 인간 조작자의 안전을 위해 60℃ 미만의 외측 벽 온도를 요구한다. 절연체 (118) 용 재료는 양립할 수 있는 클린룸이 되도록 선택될 수도 있는데, 즉 클린룸이 파티클을 흘리지 않아야 한다. 예를 들어, 통상의 산업 섬유 유리 열 절연 시트 또는 블랭킷에 의해 챔버 보디를 간단히 감싸는 것이 허용되지 않게 된다. 이러한 요건은, 통상적으로, 고가의 절연 재료 및/또는 복잡한 냉각 메커니즘을 선택하고, 챔버 설계의 전반적인 복잡성 및 제조 비용의 부가를 초래한다. 이로써, 비용에 있어서 효과적인 방식으로 안전 요건을 충족하고 스트립 속도를 개선하기 위해 고온 가스를 제공할 수 있는 신규한 스트립퍼 챔버에 대한 필요성이 존재한다.
개요
일 실시형태에 있어서, 기판으로 가스를 전달하기 위한 진공 챔버는, 기판을 둘러싸도록 공동 (cavity) 을 형성하고 복수의 가스 통로들을 갖으며, 가스 통로들을 통해 공동으로 확장하는 내부 챔버 보디와 내부 챔버 보디를 가열하는 하나 이상의 히터들을 포함한다. 내부 챔버 보디는 외부 챔버 보디에 슬라이딩가능하게 장착되고, 외부 챔버 보디는 내부 챔버 보디와의 사이에 간극을 가지고 내부 챔버 보디의 외면을 둘러싸도록 구성된다. 배출 유닛은 공동으로부터 가스를 펌핑하고, 내부 챔버 보디에 장착된 챔버 상부는 내부 챔버 보디와의 사이에 간극을 가지고 내부 챔버 보디의 상면을 피복하며, 가스 통로들과 유체 연통하는 개구를 구비하고, 플라즈마 소스는 가스를 활성화하고 공동과 유체 연통하기 위해 개구에 연결된다.
다른 실시형태에 있어서, 기판으로 가스를 전달하기 위한 진공 챔버는, 기판을 둘러싸도록 공동을 형성하고 개구를 갖는 내부 챔버 보디와, 내부 챔버 보디를 가열하는 하나 이상의 히터들; 및 내부 챔버 보디에 슬라이딩 가능하게 장착되고 개구 내부에 피트되는 돌출부를 구비하며 복수의 가스 통로들을 포함하고, 복수의 가스 통로들을 통해 공동으로 확장하는 가스 분배 컴포넌트를 포함한다. 내부 챔버 보디는 외부 챔버 보디 상에 슬라이딩 가능하게 장착되며, 외부 챔버 보디는 내부 챔버 보디와의 사이에 간극을 가지고 내부 챔버 보디의 외면을 둘러싸도록 구성된다. 배출 유닛은 공동으로부터 가스를 펌핑하고, 챔버 상부는 가스 분배 컴포넌트 상에 장착 및 고정되고, 내부 챔버 보디와의 사이에 간극을 가지고 내부 챔버 보디의 상면을 피복하도록 구성되며, 가스 통로들과 유체 연통하는 개구를 구비하며, 플라즈마 소스는 가스를 활성화시키고 공동과 유체 연통하기 위해 챔버 상부의 개구에 연결된다.
도 1은 포토레지스트 스트립퍼 챔버의 다운스트림 챔버의 단면도를 나타낸다.
도 2는 도 1에 도시된 챔버의 배플판을 도시한다.
도 3은 일 실시형태에 따른 예시적인 스트립퍼 챔버의 단면도를 나타낸다.
도 4 및 도 5는 도 3의 2개의 원형 영역의 확대도를 나타낸다.
도 6 (a) 는 저온에서의 도 3의 내부 챔버 보디의 상면도를 나타낸다.
도 6 (b) 는 고온에서의 도 3의 내부 챔버 보디의 상면도를 나타낸다.
도 7은 도 3의 스트립퍼 챔버의 다른 실시형태의 단면도를 나타낸다.
도 8은 도 7의 원형 영역의 확대도를 나타낸다.
상세한 설명
챔버 내부에 배치된 기판 상에 포토레지스트의 스트립 속도를 증가시키기 위한 스트립퍼 챔버가 설명된다. 특히, 어떤 실시형태는, 가스에 노출되는 표면적이 매우 작고 내부에 형성된 복수의 가스 통로들을 포함하는 가스 분배 컴포넌트를 포함할 수도 있으며, 가스는 가스 통로들을 통과할 때 산개한다. 또한, 스트립퍼 챔버는 가스를 더욱 더 산개하기 위해 돔 형상의 공동을 형성하는 내부 챔버 보디를 포함하고, 이로써 기판 표면에 걸쳐 균등하게 분배된 가스 흐름을 제공한다. "돔 형상" 공동은 기판과 멀어지는 방향으로 감소하는 폭 또는 직경을 갖는 것이 바람직한데, 즉 공동이 원뿔, 반구상, 요면 또는 다른 형상일 수 있다.
가스를 이용한 스트립핑 또는 애싱 공정에 있어서, 가스는 고 유속 및 고압을 가질 수도 있어, 다운스트림 스티립퍼 챔버의 개구에서 높은 가스 속도를 야기시킨다. 어떤 애플리케이션에 있어서, 가스 속도는 2005년 4월 1일에 출원되고 그 전부가 본 명세서에서 참조로서 통합되는 계류중인 미국 특허 출원 제 11/096,820 호에서 추정되는 바와 같이 177m/sec 까지 이를 수도 있다.
도 3은 일 실시형태에 따른 가스 분산 메커니즘을 갖고 300 으로 나타낸 예시적인 스트립퍼 챔버의 단면도를 나타낸다. 도시된 바와 같이, 스트립퍼 챔버는, 기판 (332) 을 지지하는 온도 제어형 척 (334); 공정 가스 (302) 를 플라즈마 (306) 로 활성화하는 플라즈마 소스 (304); 개구를 갖으며 개구브의 유입구가 플라즈마 소스 (304) 의 배출구와 정렬되는 온도 제어형 챔버 상부 (308); 챔버 상부 (308) 아래에 위치되고 기판 (332) 및 척 (334) 을 둘러싸며, 흐름 재순환 및 난류를 감소시키기 위해 콘투어된 (contoured) 내면을 갖는 영역 내부에 나타낸 상부 챔버 돔 (342) 을 포함하는 내부 챔버 보디 (310); 상부에 내부 챔버 보디 (310) 를 장착하고 내부 챔버 보디 (310) 의 측면을 둘러싸는 외부 챔버 보디 (314); 및 배출 유닛 (350) 을 포함한다.
공정 가스 (302) 는 의도된 공정에 따라 산소, 질소, 염소, 아르곤, 제논, CxFy (x≥1, y≥1) 및 CxFyHz (x≥1, y≥1, z≥1) 를 포함할 수도 있다. 플라즈마 소스 (304) 는 마이크로파 또는 RF 전력공급형일 수도 있고, 그 내벽을 따라 위치되고 바람직하게 사파이어로 이루어진 가스 이송 투브를 포함할 수도 있다. 이온화 가스 (306) 는 챔버 상부 (308) 의 개구를 통과할 수도 있기 때문에, 가스는 열 에너지를 챔버 상부 (308) 로 전달할 수도 있다. 챔버 상부 (308) 는 하나 이상의 액체 냉각 채널들 (326) 을 포함할 수도 있으며, 액체 냉각 채널 (326) 은 챔버 상부 (308) 로부터 열에너지를 제거한다. 채널들 (326) 을 통해 흐르는 액체, 바람직하게 물의 유속 및 온도는 피드백 제어 시스템에 의해 제어될 수도 있다.
도 3에 도시된 바와 같이, 내부 챔버 보디 (310) 의 상부 중앙 부분은 영역 내부에 나타낸 가스 분배부 (346) 을 포함한다. 가스 분배부 (346) 의 상면은 플라즈마 소스 (304) 에 의해 활성화된 공정 가스가 내부 챔버 보디 (310) 로 직접 통과할 수 있도록 챔버 상부 (308) 내의 개구의 배출구와 정렬될 수도 있다. 가스 분배부 (346) 는 복수의 가스 통로들 (347) 을 포함할 수도 있으며, 가스 통로들 (347) 은 가스 통로들 (347) 로부터 나가는 가스가 분산되고 균일하게 산개하도록 정형화되고 배향될 수도 있다. 예를 들어, 가스 통로들은 통로들의 축이 지지체 (334) 상의 웨이퍼 (332) 와 교차하도록 경사질 수 있다. 가스 분배부 (346) 에 의해 분산된 가스는 상부 챔버 돔 (342) 의 곡선형 내면에 의해 한정되어 기판 인접 영역에 공정 가스를 집중시킨다. 상부 챔버 돔 (342) 의 콘투어된 내면과 가스 통로들 (347) 의 배출구 배열의 조합은 화살표 330 으로 표시된 바와 같이 가스를 분산시키고, 이로써 기판 표면에서 균등하게 분배된 가스 흐름을 발생한다. 내부 챔버 보디 (310) 의 하부는 척 (334) 의 주변을 둘러싸며 척 (334) 의 주변 외부로 이격된 수직 내벽을 포함할 수도 있다. 원형 기판을 처리하기 위해, 내부 챔버 보디 (310) 의 하부는 균일한 두께의 원통형 벽을 포함하는 것이 바람직하다. 또한, 내부 챔버 보디 (310) 는 그 저부에서 곡선형 내벽부 (344) 를 포함하여 외부 챔버 보디 (314) 의 중앙으로 위치된 배출구 (358) 를 향해 흐름 방향을 점진적으로 변화시킨다.
챔버 상부 (308), 내부 챔버 보디 (310) 및 외부 챔버 보디 (314) 는 내플라즈마성 재료를 사용하여 제조될 수 있으며, 내플라즈마성 재료는 금속성 또는 비금속성 재료로부터 형성될 수 있다. 하나 이상의 금속이 상기 부분들을 형성하는데 사용되는 경우, 금속은 알루미늄, 알루미늄 합금, 스테인레스 강 및 고 니켈 합 금, 석영, 산화 알루미늄 세라믹, 질화 알루미늄 세라믹, 및/또는 산화 이트륨 세라믹으로부터 제조될 수도 있다. 선택적으로, 금속을 사용하여 제조된 부분들은 내플라즈마성 코팅에 의해 부식으로부터 보호될 수도 있다. 일 실시예에 있어서, 알루미늄의 표면 자연 산화물은 우수한 부식 배리어를 제공하기 때문에 알루미늄이 사용될 수도 있다. 소정 공정 조건 하에서 불소 함유 공정 가스를 사용하는 경우, 알루미늄 자연산화물은 불화 알루미늄의 형성을 막는데 충분한 보호를 제공할 수 없을 수도 있으며, 이는 기판의 오염을 야기시킨다. 알루미늄 부분들 상에 불화 알루미늄의 형성을 방지하기 위해, 불소 케미스트리에 대해 우수한 내성을 갖는 코팅이 알루미늄 부분들의 표면에 도포될 수도 있다. 알루미늄 및 그 합금, 플라즈마 분사된 산화 알루미늄, 니켈 도금, 석영, 산화 이트륨 및/또는 다른 세라믹 재료들 상부의 산화방지제와 같은 코팅이 다양한 케미스트리로부터의 보호를 위해 사용될 수도 있다.
내부 챔버 보디 (310) 는 진공 실링 영역에서 챔버 상부 (308) 와 접촉할 수도 있다. 도 4는 진공 실링 영역을 포함하는 원형 영역 (312, 도 3에 도시됨) 의 확대도를 나타낸다. 도시된 바와 같이, O-링 (402) 은 챔버 상부 (308) 와 내부 챔버 보디 (310) 상에 형성된 돌출단 (protruding step) 또는 돌출부 (404) 사이에 배치될 수 있으며, 진공이 유지되도록 할 수도 있다. 챔버 상부 (308) 및 내부 챔버 보디 (310) 는 알루미늄 합금과 같은 금속으로 제작되는 것이 바람직하다. 히터들 (322) 은 봉 형상의 카트리지 히터 또는 밴드 히터일 수도 있다. 또한, 돌출부 (404) 는 챔버 상부 (308) 의 하면과 금속 대 금속으로 접촉한다. 내부 챔버 보디 (310) 및 챔버 상부 (308) 는 상이한 열 팽창을 겪을 수도 있다. 따라서, 돌출부 (404) 는 내부 챔버 보디 (310) 와 챔버 상부 (308) 가 가열 및 냉각됨에 따라 내부 챔버 보디 (310) 와 챔버 상부 (308) 사이에서의 열 팽창의 부정합으로 인해 챔버 상부 (308) 에 대하여 슬라이딩할 수도 있다. 금속 대 금속 접촉 영역에서 발생하는 슬라이딩 또는 러빙 동작은 챔버 내부로 금속 파티클을 생성할 수도 있고 기판 (332) 에 불리하게 될 수도 있다. 금속 대 금속 접촉 영역을 최소화하여 물 처리 동안 하부 (310) 에서 상부 (308) 까지의 열 전달을 최소화하는 것이 바람직하다. 돌출부 (404) 는 슬라이딩 동작 하에서 O-링 (402) 과의 접촉을 유지하면서 금속 대 금속 접촉 영역을 최소화하도록 치수화될 수도 있다. 또한, 최소화된 금속 대 금속 접촉 영역은 내부 챔버 보디 (310) 로부터 챔버 상부 (308) 로의 열 전달을 감소시킬 수도 있으며, 이로써 내부 챔버 보디 (310) 를 원하는 온도 범위로 유지하는데 요구되는 가열 전력을 감소시킨다. 내부 챔버 보디 (310) 및 챔버 상부 (308) 사이의 간극 (318) 은 내부 챔버 보디 (310) 로부터 챔버 상부 (308) 로의 열 전달 감소에 도움이 된다.
바람직한 실시형태에 있어서, 내부 챔버 보디 (310) 는 외부 챔버 보디 (314) 의 측벽 상부에 있어서 환형 리세스에서 지지되며 내부 챔버 보디 (310) 의 상부에서 외측으로 확장하는 환형 돌출부를 포함한다. 도 5는 도 3의 원형 영역 (320) 의 확대도를 나타내며, 진공 실링 영역으로서도 또한 작용하는 금속 대 금속 접촉 영역을 도시한다. 도시된 바와 같이, O-링 (506) 은 내부 챔버 보디 (310) 와 외부 챔버 보디 (314) 사이에 배치될 수도 있으며, 진공이 유지되도록 할 수도 있다. 내부 챔버 보디 (310) 는 공정 가스로부터 외부 챔버 보디 (314) 를 차폐하고 그들 사이의 간극 (316) 에 의해 열적으로 절연되어 외부 챔버 보디 (314) 로의 열 전달을 감소시킬 수도 있으며, 이로써 하나 이상의 히터들 (322) 에 의해 적당한 가열로 내부 챔버 보디 (310) 를 고온으로 유지한다. 예를 들어, 연속적인 저항 히터 또는 직렬로 이격된 저항 히터들이 내부 챔버 보디 (310) 내에 내장될 수 있다.
외부 챔버 보디 (314) 는 임의의 적절한 메커니즘에 의해, 예를 들어 그를 통해 온도 제어된 유체를 순환시킴으로써 원하는 온도로 유지될 수도 있으며, 외부 챔보 보디 온도는 약 60℃ 미만으로 유지되는 것이 바람직하다. 외부 챔버 보디 (314) 는 열 제거를 위해 액체를 순환시키는 하나 이상의 액체 냉각 채널들 (328) 을 포함할 수도 있다. 종래의 냉각 메커니즘, 예를 들어 외부 챔버 보디 (314) 에 있어서 기계에 의한 홈 내에 형성된 구리 관이 사용될 수 있으며, 선택적으로, 채널 (328) 내에서 물과 같은 냉각 액체의 유속 및 온도가 피드백 제어 시스템에 의해 제어될 수도 있다.
내부 챔버 보디 (310) 의 온도는 하나 이상의 온도 센서들 (325) 에 의해 모니터링될 수도 있다. 온도 센서 (들) (325) 은, 예를 들어 써모커플 (thermocouple) 또는 저항온도검출기 (RTD) 일 수도 있다. 온도 센서 (325) (들) 은 히터(들) (322) 에 대한 전원(들) (324) 을 작동시키는 제어기에 연결될 수도 있으며, 전원(들) (324) 은 온도 조절 시스템, 예를 들어 피드백 (또는 자동) 온도 제어 시스템으로서 기능할 수도 있다. 일 변형으로서, 전원(들) (324) 은 내부 챔버 보디 (310) 온도의 수동적 조절을 허용할 수도 있다.
도 5에 도시된 바와 같이, 내부 챔버 보디 (310) 는 각각의 핀들 (504) 을 수용하는 하나 이상의 슬롯들 (502) 에 의해 외부 챔버 보디 (314) 와 원하는 정렬로 위치될 수도 있다. 나타낸 바와 같이, 슬롯들 (502) 은 내부 챔버 보디 (310) 의 열 팽창을 조정하기 위해 핀들 (504) 보다 더 크다. 내부 챔버 보디 (310) 의 온도는 동작 동안 외부 챔버 보디 (314) 의 온도보다 훨씬 더 높을 수도 있다. 가령, 내부 챔버 보디 (310) 의 동작 온도는 약 20 내지 350℃ 의 범위일 수 있지만 외부 챔버 보디 온도는 60℃ 미만으로 유지될 수도 있다.
내부 챔버 보디가 외부 챔버 보디에 대해 중앙에 놓이게 하면서 내부 챔버 보디가 고온에서 자유로이 팽창하도록 하기 위해, 도 6 (a) 에 도시된 정렬 피쳐가 사용된다. 도 6 (a) 및 도 6 (b)는 동작 동안 상온 (저온) 및 고온에서 내부 챔버 보디 (310) 의 상면도를 각각 나타낸다. 상온에서의 설치동안, 내부 챔버 보디 (310) 는 외부 챔버 보디 (314) 와 동일한 온도를 가질 수도 있다. 내부 챔버 보디 (310) 는 외부 챔버 보디 (314) 상에 장착될 수도 있으며 내부 챔버 보디 (310) 에 형성된 3개의 슬롯들 (502) 과 외부 챔버 보디 (314) 에 고정된 3개의 핀들 (504) 에 의해 중앙에 있을 수 있다. 동작 동안, 내부 챔버 보디 (310) 는 그 방사 방향에 있어서 외부 챔버 보디 (314) 보다 더 큰 열 팽창을 경험할 수도 있어, 핀들 (504) 이 슬롯들 (502) 을 따라 각각 슬라이딩하게 한다. 슬롯들 (502) 및 핀들 (504) 은 부동 커플링 (floating coupling) 메커니즘을 형성하여 내부 챔버 보디 (310) 와 외부 챔버 보디 (314) 사이의 열 팽창에서의 부정합으로 인한 손상을 방지할 수도 있는데, 즉 내부 챔버 보디 (310) 는 외부 챔버 보디 (314) 상에 슬라이딩 가능하게 장착되어 내부 챔버 보디가 중앙에 놓이도록 하면서 자유로운 열팽창을 허용한다. 일 변형으로서, 슬롯들은 외부 챔버 보디 (314) 에 형성될 수도 있으며, 핀들은 내부 챔버 보디 (310) 에 고정될 수도 있다. 임의의 적절한 수의 핀들 및 슬롯들이 300으로 나타낸 스트립퍼 챔버에서 사용될 수도 있으며, 또는 원한다면 다른 장착 장치가 사용될 수도 있다.
도 3과 도 6 (a) 및 도 6 (b) 에 도시된 바와 같이, 내부 챔버 보디 (310) 는 가스 분배부 (346) 를 포함한다. 가스 분배부 (346) 는 가스를 산개하기 위한 복수의 가수 통로들 (347) 을 포함할 수도 있다. 가스 통로들 (347) 은 동일하거나 상이한 크기의 균일하거나 불균일한 단면을 가질 수도 있으며/있거나 내부 챔버 보디 (310) 의 수직 중앙축으로부터 떨어져 경사질 수도 있어 가스가 가스 통로들 (347) 을 통과함에 따라 산개한다. 상이한 흐름 및 압력 조건과 가스 유형은 상이한 통로 각도 및/또는 사이즈의 통로들을 갖는 내부 챔버 보디를 사용하여 최상의 전체 성능을 위해 최적화될 수도 있다. 일 변형으로서, 각각의 통로는 마이크로파의 플라즈마 소스 (304) 에서 발생되는 자외선이 기판 표면에 도달하는 것을 최소화하거나 방지하기 위한 각도로 경사질 수도 있다. 다른 변형으로서, 각각의 가스 통로들은 그 배출단들에서 플레어될 수도 있어 배출단들로부터 나가는 가스의 주변 균일도를 향상시킨다. 가스 통로들 (347) 의 직경 및 개수는 기판 (332) 에 걸쳐 균일한 가스 분배를 제공하지만 플라즈마 소스 (304) 에 있어서 다량의 후방 압력을 생성하지 않도록 선택될 수도 있다. 도 6 (a) 및 도 6 (b) 에서는 설명을 위해 단지 13개의 가스 통로들만이 도시되어 있다. 그러나, 가스 통로들 (347) 의 개수, 크기 및 위치는 내부 챔버 보디 (310) 에 이온화된 공정 가스의 원하는 분배를 제공하기 위해 조절될 수 있다. 가스 통로들의 보다 상세한 정보는 공동 양도되고 본 명세서에서 그 전체가 참조로서 통합된 미국특허출원 제11/096,820 호에서 알 수 있다.
다시 도 3을 참조하면, 가스는 배출 유닛 (350) 에 의해 배출 포트 (358) 를 통해 도출될 수도 있다. 챔버의 펌핑은 기판 (332) 상의 포토레지스트의 스트립 속도에 영향을 미칠 수도 있다. 균일한 펌핑을 제공하기 위해, 배출 포트 (358) 는 외부 챔버 보디 (314) 의 하부에서 중앙으로 위치될 수도 있다. 배출 유닛 (350) 은 진공 펌프 (356) 에 연결된 스로틀 (throttle) 밸브 (354) 및 격리 밸브 (352) 를 포함할 수도 있다.
300으로 나타낸 스트립퍼 챔버는 스트립 공정 이외에 포스트 금속 식각 공정을 수행하는데 사용될 수도 있다. 패시배이션으로서 지칭되는, 포스트 금속 식각 공정에 있어서, 챔버 (300) 는 새로이 식각된 기판의 침식 제어기로서 동작할 수도 있다. 예를 들어, 염소 함유 공정 가스를 이용하여 기판 (332) 상의 알루미늄층을 플라즈마 식각한 후, 기판 (332) 상의 Cl2와 같은 잔류 식각 가스는 기판 (332) 상의 금속층을 어택하지 않도록 가능한 빨리 중성화될 필요가 있을 수도 있다. 수증기는 플라즈마 전원을 가지고 또는 플라즈마 전원 없이 플라즈마 소스 (304) 를 통해 도입되어 수증기 플라즈마 또는 단지 수증기 중 어느 하나가 패시배 이션을 위해 사용된다. 내부 챔버 보디 온도의 증가는 이러한 패시배이션의 스트립 속도 및 유효성을 향상시킬 수도 있다는 것을 알아내었다. 가령, 스트립 속도는 내부 챔버 보디 온도가 150℃ 로부터 300℃로 증가되는 경우 20%만큼 개선될 수 있다. 이러한 온도 증가는 결함성 기판의 수를 50% 초과로 감소시킬 수도 있다. 이론에 의해 한정하려는 것은 아니지만, 그 개선은 2가지 효과에 기초한다고 생각된다. 첫째로, 내부 챔버 보디 (310) 표면 상의 라디칼들의 재결합 속도는 고온 표면에서 낮아질 수도 있는데, 이는 가스 분자가 뜨거운 표면에 고정되는 것이 쉽지 않기 때문이다. 두번째로, 벌크 플라즈마 온도의 증가는 스트립 속도 및 패시배이션에 영향을 미칠 수 있다. 더 뜨거운 플라즈마는 재료의 표면에서 반응속도를 개선할 수도 있으며, 재료는 스트립 공정에서의 포토레지스트 또는 패시배이션에서의 금속 (알루미늄 등) 중 하나이다.
고전력 플라즈마 소스 (예를 들어, 6kW) 를 사용하여 고 공정 가스 유속 (6 내지 8ℓ/분) 으로 고속 포토레지스트 스트립을 달성하는 것이 바람직할 수도 있다. 가스 분배부 (346) 는 플라즈마 소스 (304) 의 배출구에 인접하여 위치될 수도 있기 때문에, 가스 분배부 (346) 는 과열될 수도 있고 내부 챔버 보디 (310) 의 다른 부분들보다 더 빠른 부식으로 인해 손상되기 쉬울 수도 있다. 일 실시형태에 있어서, 가스 분배부 (346) 는 내부 챔버 보디 (310) 의 나머지 부분들과 이격되어 가스 분배부 (364) 가 보수 관리를 위해 대체될 수도 있다. 도 7은 도 3에 300으로 나타낸 스트립퍼 챔버의 다른 실시형태 (700) 의 단면도를 나타낸다. 도시된 바와 같이, 700으로 나타낸 스트립퍼 챔버는 기판 (738) 을 지지하 는 온도 제어형 척 (740); 공정 가스 (702) 를 플라즈마 (706) 로 활성화하고, 마이크로파 또는 RF 전력공급형 소스이지만 이에 한정되지 않는 플라즈마 소스 (704); 중앙 개구를 가지며, 개구의 유입구가 플라즈마 소스 (704) 의 배출구와 정렬된 챔버 상부 (708); 챔버 상부 (708) 에 고정되고 내부에 형성된 복수의 가스 통로들 (742) 을 갖는 가스 주입기 또는 가수 분배 컴포넌트 (712); 가스 분배 컴포넌트 (712) 아래에 위치되고 흐름 재순환 및 난류를 감소시키기 위해 유선형의 내면을 갖는 상부 챔버 돔을 포함하고, 지지체 (740) 의 주변 방향에서 기판 (738) 및 지지체 (740) 를 둘러싸는 측부를 포함하는 내부 챔버 보디 (710); 및 상부에 내부 챔버 보디 (710) 를 슬라이딩 가능하게 장착하고 내부 챔버 보디 (710) 의 측면을 둘러싸는 외부 챔버 보디 (730) 를 포함한다.
챔버 상부 (708) 의 개구의 배출구는 가스 통로들 (742) 의 유입구와 유체 연통한다. 가스 통로들 (742) 은 가스 분배 컴포넌트 (712) 의 중앙선으로부터 떨어져 경사져서 가스 통로들로부터 나가는 가스가 분산되고 균일하게 산개된다. 가스 통로들 (742) 의 배열, 크기 및 개수는 도 6 (a) 의 가스 통로들 (347) 과 유사할 수도 있다. 예를 들어, 가스 통로들 (742) 의 크기는 가스 분배 컴포넌트 (712) 의 중앙으로부터의 증가 거리에 따라 증가한다. 그러나, 가스 통로들 (742) 의 개수, 크기 및 위치가 임의의 원하는 배열로 제공될 수 있음은 명백할 것이다.
300 (도 3) 으로 나타낸 스트립퍼 챔버에서와 같이, 가스 분배 컴포넌트 (712), 챔버 상부 (708), 내부 챔버 보디 (710) 및 외부 챔버 보디 (730) 는 내플 라즈마성 재료를 사용하여 제조될 수도 있으며, 내플라즈마성 재료는 금속성 또는 비금속성 재료로부터 형성될 수 있다. 하나 이상의 재료가 가스 분배 컴포넌트 (712) 를 형성하는데 사용되는 경우, 가스 분배 컴포넌트 (712) 는 알루미늄, 알루미늄 합금, 스테인레스 강 및 고 니켈 합금, 석영, 산화 알루미늄 세라믹, 질화 알루미늄 세라믹, 및/또는 산화 이트륨 세라믹으로부터 제작될 수도 있다. 또한, 가스 분배 컴포넌트 (712) 는 부식으로부터 보호하기 위해 내플라즈마성 코팅을 가질 수도 있으며, 이 코팅 재료는 도 3에 나타낸 실시형태에서 이미 설명한 것과 유사할 수도 있다. 가스 분배 컴포넌트 (712) 의 중앙 부분은 실질적으로 평평한 원반 형상을 가질 수도 있다. 그러나, 가스 분배 컴포넌트 (712) 는 다른 적당한 형상을 가질 수도 있다. 가스 분배 컴포넌트 (712) 의 보다 상세한 설명은 공동 양도된 미국 특허 출원 제11/096,820호에서 알 수 있다.
가스 분배 컴포넌트 (712) 는 스크류 또는 볼트와 같은 적절한 패스너 (716) 을 사용하여 챔버 상부 (708) 의 하면에 안전하게 부착될 수도 있다. 플라즈마 소스 (704) 는 가스가 가스 통로들 (742) 을 통해 내부 챔버 보디 (710) 에 다운스트림으로 전송되도록 가스 분배 컴포넌트 (712) 를 통해 내부 챔버 보디 (710) 와 연통할 수도 있다. 가스 분배 컴포넌트 (712) 의 상면의 넓은 부분은 챔버 상부 (708) 와 접촉할 수도 있어, 공정 가스로부터 가스 분배 컴포넌트 (712) 로 전달된 열에너지가 챔버 상부 (708) 에 효율적으로 전도될 수 있게 되며, 이로써 가스 분배 컴포넌트 (712) 의 과열이 억제될 수 있다. O-링 (714) 은 진공 실링을 위해 챔버 상부 (708) 와 가스 분배 컴포넌트 (712) 사이에 배치될 수도 있다. 챔버 상부 (708) 는 하나 이상의 액체 냉각 채널들 (728) 을 포함할 수도 있으며, 여기서 물과 같은 냉각 액체의 유속 및 온도는 피드백 제어 시스템에 의해 제어될 수도 있다.
상술한 바와 같이, 가스 분배 컴포넌트 (712) 및 챔버 상부 (708) 는 상대적으로 큰 접촉 영역을 갖는다. 가스 분배 컴포넌트 (712) 및 챔버 상부 (708) 는 패스너 (716) 에 의해 서로 고정되더라도, 열 팽창 시 그들 사이에 약간의 부정합이 발생할 수도 있고, 결과로서 접합면 사이에 러빙이 발생할 수도 있다. 상술한 바와 같이, 러빙은 금속 파티클들을 생성할 수도 있다. O-링 (714) 의 안쪽으로 표면의 러빙을 방지하기 위해, 진공 O-링 (714) 의 안쪽으로 대향면들 사이에 작은 간극 (721) 이 도입될 수도 있으며, 이 O-링 (714) 은 O-링 외측의 접촉면들 사이에서 발생될 수도 있는 금속 파티클들을 배제할 수도 있다.
가스 분배 컴포넌트 (712) 의 온도가 700으로 나타낸 스트립퍼 챔버의 스트립 속도에 영향을 미칠 수도 있음을 유의한다. 예를 들어, 가스 분배 컴포넌트 (712) 표면 상의 가스 라디칼의 재결합 속도는 가스 분배 컴포넌트 (712) 표면의 온도에 따라 변할 수도 있다. 100 (도 1) 으로 나타낸 다운스트림 챔버에 있어서, 배플들 (112) 의 크기로 인해 배플들 (112) 의 온도를 조정하는 것이 어려울 수도 있다. 배플들 (112) 의 온도가 시간에 대하여 변화하는 경우, 공정 결과는 기판마다 달라질 수도 있다. 각 배플의 온도를 균일하게 유지하는 것도 또한 어려울 수도 있다. 도 1에 나타낸 챔버에 대하여, 각 배플 (112) 의 온도는 배플의 중앙에서 더 높을 수도 있는데, 이는 이 영역이 플라즈마 소스의 배출구 바 로 아래에 있어 배플의 다른 영역보다 더 큰 열부하를 수용하기 때문이다. 불균일한 온도 프로파일은 배플 표면이 불균일한 라디칼 재결합 효율을 갖도록 할 수도 있어, 공정을 더욱 복잡하게 한다. 반대로, 가스 분배 컴포넌트 (712) 는 종래의 배플 (112) 보다 현저하게 작은 라디칼 디멘션을 가질 수도 있으며, 이는 그 방사 방향을 따라 가스 분배 컴포넌트 (712) 의 온도 분배에 있어서 균일성을 개선하고 시간에 대하여 온도 변동을 감소시킬 수도 있다.
내부 챔버 보디 (710) 는 상부 챔버 돔을 포함할 수도 있으며, 돔의 상부는 가스 분배 컴포넌트 (712) 의 하단부 (bottom step portion) 또는 돌출부를 수용하기 위해 개구를 갖는다. 가스 분배 컴포넌트 (712) 에 의해 분산된 가스는 추가 팽창을 위해 상부 챔버 돔의 내면에 의해 한정될 수도 있다. 내부 챔버 보디 (710) 는 진공 실링 영역에서 가스 분배 컴포넌트 (712) 와 금속 대 금속 접촉으로 될 수도 있다. 도 8은 진공 실링 영역을 포함하는 원형 영역 (720, 도 7에 도시됨) 의 확대도를 나타낸다. 도시된 바와 같이, O-링 (802) 은 내부 챔버 보디 (710) 와 가스 분배 컴포넌트 (712) 상에 형성된 돌출부 (804) 사이에 배치될 수도 있다. 도 4와 함께 설명된 바와 같이, 금속 대 금속 접촉 영역은 가스 분배 컴포넌트 (712) 및 내부 챔버 보디 (710) 사이에서 금속 파티클의 형성 및 열 전달을 감소시키도록 최소화될 수도 있다. 간극 (723) 은 가스 분배 컴포넌트 (712) 뿐만 아니라 챔버 상부 (708) 와 내부 챔버 보디 (710) 사이에서 열전달을 감소시키는데 이용될 수도 있다.
내부 챔버 보디 (710) 는 진공 실링 영역 (726) 에서 외부 챔버 보디 (730) 상에 장착될 수도 있다. 진공 실링 영역 (726) 의 구조 및 기능적 피쳐들은 도 3의 영역 (320) 의 것들과 동일할 수도 있다. 내부 챔버 보디 (710) 는 하나 이상의 전원들 (724) 에 연결된 하나 이상의 히터들 (722) 에 의해 가열될 수도 있다. 히터(들) (722) 은 봉형상의 카트리지 히터(들) 또는 밴드 히터일 수도 있다. 내부 챔버 보디 (710) 의 온도는 하나 이상의 온도 센서들 (725) 에 의해 모니터링될 수도 있다. 온도 센서 (725) 는 전원(들) (724) 이 온도 센서 판독에 응답하여 활성화되어 자동 온도 제어 시스템을 제공할 수 있도록 제어기와 협력한다. 일 변형으로서, 전원(들) (724) 은 내부 챔버 보디 온도의 수동적 조정을 허용할 수도 있다.
300으로 나타낸 스트립퍼 챔버의 경우에서와 같이, 외부 챔버 보디 (730) 의 온도는 안전상의 이유로 60℃와 같은 소정 레벨 미만으로 유지될 수 있으며, 내부 챔버 보디 (710) 의 온도는 350℃까지 도달할 수도 있다. 내부 챔버 보디와 외부 챔버 보디 사이의 열 전달을 최소화하기 위해 그들 사이에 간극 (734) 이 제공될 수도 있다. 또한, 외부 챔버 보디 (730) 는 그 온도를 제어하기 위해 하나 이상의 액체 냉각 채널들 (732) 을 포함할 수도 있다. 내부 챔버 보디 (710) 는 외부 챔버 보디 (730) 의 유사하게 정형화된 부분으로부터 간극에 의해 분리된 배출 포트 (750) 를 향해 가스 흐름 방향을 점차적으로 전환하기 위한 곡선형 하부 (733) 를 포함할 수도 있다. 공정 가스 및 부산물은 배출 포트 (750) 에 연결된 배출 유닛 (758) 에 의해 배출 포트 (750) 를 통해 도출될 수도 있다. 배출 유닛 (758) 은 진공 펌프 (756) 에 연결된 분리 밸브 (752) 및 스로틀 밸브 (754) 를 포함할 수도 있다.
본 발명은 그 구체적인 실시형태들을 참조하여 상세하게 설명되었지만, 첨부된 특허청구범위의 범위를 벗어나지 않으면서 다양한 변경 및 변형이 이루어질 수 있고 등가물이 채용될 수 있다.

Claims (23)

  1. 진공 챔버로서,
    챔버 상부;
    가스 분배 부재 및 외부 챔버 보디로서,
    상기 가스 분배 부재는, 상기 외부 챔버 보디의 내면들과 내부 챔버 보디의 외면들 사이에 간극을 갖고 상기 외부 챔버 보디 상에 슬라이딩 가능하게 지지되도록 구성된 상기 내부 챔버 보디;
    상기 내부 챔버 보디의 중앙 상부를 통해 연장하고, 반도체 기판을 향해 상기 챔버 안으로 공정 가스를 지향시키도록 구성된 가스 통로들;
    상기 반도체 기판을 덮고 공간 내에 상기 공정 가스를 한정하도록 구성된 돔형 내면; 및
    상기 가스 통로들을 둘러싸고 상기 챔버 상부의 하부면과 진공 실을 형성하도록 구성된 중앙 상부 진공 실링면을 포함하고,
    상기 외부 챔버 보디는, 측벽, 및 상기 내부 챔버 보디를 슬라이딩 가능하게 지지하고 상기 내부 챔버 보디와 상기 측벽 사이에 진공 간극을 갖고 상기 내부 챔버 보디의 측면를 둘러싸도록 구성된 상기 측벽의 상부 내의 환형 리세스를 갖는, 상기 가스 분배 부재 및 외부 챔버 보디;
    상기 챔버로부터 상기 공정 가스를 펌핑하도록 동작하는 배출 유닛으로서,
    상기 챔버 상부는, 상기 내부 챔버 보디의 상기 중앙 상부 상에 슬라이딩 가능하게 장착된 그 중앙부를 가져 상기 중앙부의 외측으로 상기 챔버 상부와 상기 내부 챔버 보디 사이에 주변 간극을 가지면서 상기 내부 챔버 보디의 상면을 커버하고, 상기 가스 통로들과 유체 연통하는 개구를 갖는, 상기 배출 유닛; 및
    상기 공정 가스를 활성화하도록 동작하고 상기 챔버 상부의 상기 개구와 유체 연통하기 위해 상기 개구에 연결된 플라즈마 소스를 포함하는, 진공 챔버.
  2. 제 1 항에 있어서,
    상기 가스 통로들의 적어도 일부는 그 축들이 상기 반도체 기판의 노출된 표면과 교차하도록 경사진, 진공 챔버.
  3. 제 1 항에 있어서,
    상기 내부 챔버 보디에 의해 지지되고 상기 내부 챔버 보디를 원하는 온도로 가열하도록 동작가능한 하나 이상의 히터들을 더 포함하는, 진공 챔버.
  4. 제 1 항에 있어서,
    상기 내부 챔버 보디의 온도를 모니터링하도록 동작가능한 하나 이상의 온도 센서들을 더 포함하는, 진공 챔버.
  5. 제 1 항에 있어서,
    상기 내부 챔버 보디는 상기 챔버 상부와 진공 실 및 금속 대 금속 접촉을 형성하는 돌출부를 포함하는 금속 보디인, 진공 챔버.
  6. 제 1 항에 있어서,
    상기 내부 챔버 보디는 메인 보디 및 상기 가스 통로들이 위치되는 상판을 포함하고,
    상기 메인 보디는 그 상부 벽에 개구를 포함하고,
    상기 상부 벽은 상기 개구를 둘러싸는 진공 실링면을 포함하며,
    상기 상판은 상기 가스 통로들을 둘러싸는 중앙 상부 진공 실링면 및 상기 상판과 상기 메인 보디의 진공 실링면들 사이에 피트되어 상기 진공 실링면들 사이에 진공 실을 형성하는 O-링을 포함하는, 진공 챔버.
  7. 제 1 항에 있어서,
    상기 가스 분배 부재는 상기 가스 분배 부재의 외측 측벽을 둘러싸고 상기 진공 챔버의 측벽의 상부 상의 상면과 진공 실을 형성하도록 구성된 하부 진공면을 포함하는, 진공 챔버.
  8. 제 1 항에 있어서,
    상기 가스 분배 부재는 상부벽, 측벽 및 하부벽을 포함하고,
    상기 상부벽은 그 외부 주변을 향해 증가하는 두께를 갖고,
    상기 측벽은 그 상부에서 더 큰 두께를 갖고,
    상기 하부벽은 그 내부에 중앙 개구를 가지며, 상기 중앙 개구는 상기 진공 챔버의 하부에 위치된 기판 지지체가 상기 중앙 개구 내부에 피트될 수 있도록 상기 진공 챔버 안으로 상기 가스 분배 부재가 하강되도록 하는 크기로 된, 진공 챔버.
  9. 제 1 항에 있어서,
    상기 가스 통로들은 제1 구역에 걸친 유입구들과 상기 제1 구역보다 면적이 큰 제2 구역에 걸친 배출구들을 구비하고,
    상기 제2 구역은 상기 진공 챔버 내에서 처리되는 기판의 노출된 표면의 면적의 50% 이하의 면적에 걸쳐 확장하고,
    배출구들은, 내부 가스 배출구들이 공정 가스를 상기 기판의 중앙 영역을 향해 지향시키고 외부 가스 배출구들이 공정 가스를 상기 기판의 외부 영역을 향해 지향시키도록 배향되는, 진공 챔버.
  10. 제 1 항에 있어서,
    상기 외부 챔버 보디는 적어도 하나의 채널을 포함하고, 상기 채널은 상기 채널을 통해 냉각 유체를 유동시켜 상기 외부 챔버 보디로부터 열을 제거하도록 동작가능한, 진공 챔버.
  11. 제 10 항에 있어서,
    상기 채널을 통해 상기 냉각 유체의 유속을 제어하도록 동작가능한 피드백 제어 시스템을 더 포함하는, 진공 챔버.
  12. 제 1 항에 있어서,
    하나 이상의 온도 센서들에 연결되고 상기 내부 챔버 보디의 온도의 자동 조정을 제공하는 온도 조절 시스템을 더 포함하는, 진공 챔버.
  13. 제 12 항에 있어서,
    상기 온도 조절 시스템은 상기 내부 챔버 보디의 온도를 20℃ 내지 350℃ 의 범위로 유지하는, 진공 챔버.
  14. 제 13 항에 기재된 상기 진공 챔버에서 반도체 기판을 처리하는 방법으로서,
    상기 온도 조절 시스템은 상기 반도체 기판의 노출된 표면을 플라즈마 처리하는 단계 동안, 상기 내부 챔버 보디의 온도를 20℃ 내지 350℃ 의 범위로 유지하는, 반도체 기판의 처리 방법.
  15. 제 14 항에 있어서,
    상기 반도체 기판은 웨이퍼이고,
    상기 플라즈마 처리하는 단계는 상기 웨이퍼로부터 포토레지스트층을 스트립하는 단계를 포함하는, 반도체 기판의 처리 방법.
  16. 제 1 항에 있어서,
    상기 내부 챔버 보디는 복수의 슬롯들 및 핀들에 의해 상기 외부 챔버 보디 상에 위치되고,
    상기 슬롯들의 각각은 상기 내부 챔버 보디의 방사 방향 (radial direction) 을 따라 확장하고 상기 내부 챔버 보디 내에 형성되며, 대응하는 핀이 상기 슬롯을 따라 슬라이딩하도록 구성되고,
    상기 핀들은 상기 외부 챔버 보디에 고정되는, 진공 챔버.
  17. 제 1 항에 있어서,
    상기 챔버 상부는 적어도 하나의 채널을 포함하고, 상기 채널은 상기 채널을 통해 냉각 유체를 유동시켜 상기 챔버 상부로부터의 열 에너지를 제거하도록 동작가능한, 진공 챔버.
  18. 제 17 항에 있어서,
    상기 채널을 통해 냉각 유체를 순환시키는 온도 제어형 유체 순환 시스템과 협력하여 동작가능한 피드백 제어 시스템을 더 포함하는, 진공 챔버.
  19. 반도체 기판을 처리하는 방법으로서,
    제 1 항에 기재된 진공 챔버 내에 반도체 기판을 지지시키는 단계;
    상기 플라즈마 소스를 사용하여 플라즈마를 발생시키는 단계; 및
    상기 플라즈마로 상기 반도체 기판을 처리하는 단계를 포함하는, 반도체 기판의 처리 방법.
  20. 제 19 항에 있어서,
    상기 반도체 기판은 웨이퍼이고,
    상기 반도체 기판을 처리하는 단계는 상기 웨이퍼 상의 포토레지스트층을 스트립하는 단계를 포함하는, 반도체 기판의 처리 방법.
  21. 제 19 항에 있어서,
    상기 반도체 기판은 웨이퍼이고,
    상기 반도체 기판을 처리하는 단계는 포스트 금속 식각 패시배이션 (post-metal etch passivation) 을 포함하는, 반도체 기판의 처리 방법.
  22. 삭제
  23. 삭제
KR1020097008606A 2006-09-28 2007-09-13 포토레지스트 스트립 및 포스트 금속 식각 패시배이션을 위한 고온 챔버 공정 및 챔버 설계 KR101411674B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/528,275 US7476291B2 (en) 2006-09-28 2006-09-28 High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US11/528,275 2006-09-28
PCT/US2007/019886 WO2008042091A1 (en) 2006-09-28 2007-09-13 High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation

Publications (2)

Publication Number Publication Date
KR20090080510A KR20090080510A (ko) 2009-07-24
KR101411674B1 true KR101411674B1 (ko) 2014-06-25

Family

ID=39260096

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097008606A KR101411674B1 (ko) 2006-09-28 2007-09-13 포토레지스트 스트립 및 포스트 금속 식각 패시배이션을 위한 고온 챔버 공정 및 챔버 설계

Country Status (6)

Country Link
US (1) US7476291B2 (ko)
JP (1) JP4995915B2 (ko)
KR (1) KR101411674B1 (ko)
CN (1) CN101523592B (ko)
TW (1) TWI428713B (ko)
WO (1) WO2008042091A1 (ko)

Families Citing this family (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8043434B2 (en) 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20110226280A1 (en) * 2008-11-21 2011-09-22 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5728482B2 (ja) * 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US20110079580A1 (en) * 2009-10-07 2011-04-07 Texas Instruments Incorporated Lower chamber heaters for improved etch processes
CN102640216A (zh) * 2009-11-30 2012-08-15 应用材料公司 处理硬盘驱动器基板的腔室
WO2011146571A2 (en) * 2010-05-21 2011-11-24 Applied Materials, Inc. Tightly-fitted ceramic insulator on large-area electrode
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
DE112011104446B4 (de) * 2010-12-20 2023-06-22 Samsung Electronics Co., Ltd. Chemische Gasphasenabscheidungs-Vorrichtung und Verfahren zum Herstellen von lichtemittierenden Vorrichtungen mit derselben
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
TWI563552B (en) * 2011-04-28 2016-12-21 Lam Res Corp Substantially non-oxidizing plasma treatment devices and processes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20120312234A1 (en) * 2011-06-11 2012-12-13 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
JP5686261B2 (ja) * 2011-07-29 2015-03-18 セメス株式会社SEMES CO., Ltd 基板処理装置及び基板処理方法
CN103048377B (zh) * 2011-10-17 2015-06-10 中国科学院化学研究所 极紫外(euv)光刻胶超高真空热处理检测装置与方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102420120B (zh) * 2011-11-04 2016-08-03 中国科学院微电子研究所 一种进气结构
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
JP5850236B2 (ja) * 2012-01-20 2016-02-03 アイシン精機株式会社 カーボンナノチューブの製造装置及びカーボンナノチューブの製造方法
JP5436706B2 (ja) * 2012-03-12 2014-03-05 キヤノン株式会社 計測装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
WO2014116304A2 (en) 2012-08-23 2014-07-31 Applied Materials, Inc. Method and hardware for cleaning uv chambers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8872138B2 (en) * 2013-02-20 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at UV curing chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
CN105765103B (zh) * 2013-12-02 2018-09-25 应用材料公司 用于原位清洁工艺腔室的方法和装置
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP2017073455A (ja) * 2015-10-07 2017-04-13 東京エレクトロン株式会社 接合システム
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935530B (zh) * 2015-12-31 2020-04-17 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀光刻胶装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6746209B2 (ja) * 2016-08-31 2020-08-26 株式会社ディスコ プラズマエッチング装置
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
ES2662939A1 (es) * 2017-09-13 2018-04-10 Consorci Per A La Construcció, Equipament I Explotació Del Laboratori De Llum De Sincrotró Dispositivo de deposición química de vapor remota asistida por plasma y método para producirlo
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7456951B2 (ja) 2018-07-05 2024-03-27 ラム リサーチ コーポレーション 基板処理システムにおける基板支持体の動的温度制御
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN109037105B (zh) * 2018-07-23 2020-03-17 华进半导体封装先导技术研发中心有限公司 半导体清洗设备及利用该设备清洗助焊剂的方法
CN109037104B (zh) 2018-07-23 2020-04-14 华进半导体封装先导技术研发中心有限公司 半导体清洗设备及利用该设备清洗通孔的方法
CN109037103B (zh) * 2018-07-23 2020-03-17 华进半导体封装先导技术研发中心有限公司 一种应用雾化法清洗晶圆表面的半导体设备与工艺
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10872747B2 (en) * 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383889B (zh) * 2018-12-27 2023-03-24 中微半导体设备(上海)股份有限公司 一种包含热相变材料的等离子处理腔
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN112079573A (zh) * 2019-06-14 2020-12-15 江西沃格光电股份有限公司 蚀刻承载篮具
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR102277549B1 (ko) * 2019-07-18 2021-07-15 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
CN111599720A (zh) * 2020-05-25 2020-08-28 上海华力集成电路制造有限公司 一种气体分配器
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN113488416B (zh) * 2021-07-06 2022-10-21 华海清科股份有限公司 晶圆后处理设备及其应用的通风系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114618852B (zh) * 2022-05-17 2022-08-16 江苏浦贝智能科技有限公司 一种半导体加工用除胶机及除胶方法
JP7493556B2 (ja) * 2022-06-29 2024-05-31 エドワーズ株式会社 真空ポンプ
WO2024072668A1 (en) * 2022-09-30 2024-04-04 Lam Research Corporation Dome shaped chamber for generating in-situ cleaning plasma

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000004898A (ko) * 1995-09-28 2000-01-25 클로제, 브뢰잠레 호르몬 대체 요법 및 호르몬 분배기
KR20010080758A (ko) * 1998-12-14 2001-08-22 조셉 제이. 스위니 고온 화학기상증착 챔버
KR20020016706A (ko) * 2000-08-26 2002-03-06 황 철 주 Pecvd 장치

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62123721A (ja) * 1985-11-25 1987-06-05 Hitachi Ltd 処理装置
ATE127615T1 (de) * 1991-05-21 1995-09-15 Materials Research Corp Sanftaetz-einheit fuer modulare bearbeitungsanlagen und ecr-plasmaerzeuger fuer eine solche einheit.
EP0692141B1 (en) * 1994-02-03 2002-12-04 Applied Materials, Inc. Stripping, passivation and corrosion inhibition of semiconductor substrates
JPH0878392A (ja) * 1994-09-02 1996-03-22 Mitsubishi Electric Corp プラズマ処理装置及び半導体ウエハの成膜加工方法
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3050124B2 (ja) * 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
JPH1022262A (ja) * 1996-07-02 1998-01-23 Hitachi Ltd ドライエッチング方法
JP4049423B2 (ja) * 1997-11-06 2008-02-20 キヤノンアネルバ株式会社 成膜処理装置内の付着金属膜のクリーニング方法
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
JP4124543B2 (ja) * 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP2002164327A (ja) * 2000-11-27 2002-06-07 Seiko Epson Corp 半導体製造装置用石英チャンバー
JP2004296460A (ja) * 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc プラズマ処理装置
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US8298336B2 (en) * 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000004898A (ko) * 1995-09-28 2000-01-25 클로제, 브뢰잠레 호르몬 대체 요법 및 호르몬 분배기
KR20010080758A (ko) * 1998-12-14 2001-08-22 조셉 제이. 스위니 고온 화학기상증착 챔버
KR20020016706A (ko) * 2000-08-26 2002-03-06 황 철 주 Pecvd 장치

Also Published As

Publication number Publication date
TWI428713B (zh) 2014-03-01
KR20090080510A (ko) 2009-07-24
WO2008042091A1 (en) 2008-04-10
CN101523592B (zh) 2011-07-13
US7476291B2 (en) 2009-01-13
JP2010505265A (ja) 2010-02-18
TW200823610A (en) 2008-06-01
US20080078744A1 (en) 2008-04-03
CN101523592A (zh) 2009-09-02
JP4995915B2 (ja) 2012-08-08

Similar Documents

Publication Publication Date Title
KR101411674B1 (ko) 포토레지스트 스트립 및 포스트 금속 식각 패시배이션을 위한 고온 챔버 공정 및 챔버 설계
CN112176318B (zh) 用于基板处理装置的温度控制组件及其使用方法
KR100587629B1 (ko) 기판 표면에 걸쳐서 층류의 가스 흐름을 제공하는 가스분배판 조립체
KR100954257B1 (ko) 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치
US8444926B2 (en) Processing chamber with heated chamber liner
EP1150330B1 (en) Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR102422656B1 (ko) 프로세스 균일성을 증대하기 위한 방법 및 시스템
EP1706889B1 (en) Gas distribution plate assembly for plasma reactors
EP1741124B1 (en) Segmented baffle plate assembly for a plasma processing system
KR101235500B1 (ko) 높은 스트립 속도의 다운스트림 챔버
JP4649088B2 (ja) 断熱且つプラズマ加熱される小型ガス分配プレートアレイを備えたプラズマリアクター用冷却天井
KR20180126388A (ko) 개선된 프리커서 유동을 위한 반도체 처리 챔버
US20120097330A1 (en) Dual delivery chamber design
TW201718927A (zh) 具有漏斗狀氣體分散通道及氣體分配板的原子層沉積腔室
KR20040079993A (ko) 반응기 어셈블리 및 처리 방법
KR20080106041A (ko) 비금속 서셉터를 갖는 플라즈마 cvd 장치
CN109564845B (zh) 用于等离子体室的隔离栅网

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170612

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190611

Year of fee payment: 6