JP2010505265A - フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計 - Google Patents

フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計 Download PDF

Info

Publication number
JP2010505265A
JP2010505265A JP2009530359A JP2009530359A JP2010505265A JP 2010505265 A JP2010505265 A JP 2010505265A JP 2009530359 A JP2009530359 A JP 2009530359A JP 2009530359 A JP2009530359 A JP 2009530359A JP 2010505265 A JP2010505265 A JP 2010505265A
Authority
JP
Japan
Prior art keywords
chamber body
gas
chamber
vacuum
inner chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009530359A
Other languages
English (en)
Other versions
JP4995915B2 (ja
Inventor
イン−ヤン アルバート ワン,
ロバート シェビ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2010505265A publication Critical patent/JP2010505265A/ja
Application granted granted Critical
Publication of JP4995915B2 publication Critical patent/JP4995915B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

パッシベーションする、かつ/または半導体基板上に形成されたフォトレジスト層を剥離するための真空チャンバ。このチャンバは内部チャンバボディを含み、内部チャンバボディは、基板を取り囲むための空洞を形成し、内部チャンバボディの中を延びて空洞に至る複数のガス通路と、内部チャンバボディを加熱するための1つまたは複数のヒータとを有する。内部チャンバボディは、内部チャンバの側面を間に間隙がある状態で取り囲む外部チャンバボディ上に、摺動可能に取り付けられる。この装置はまた、空洞からガスをポンプ吸排するように動作する排気ユニットと、内部チャンバボディの上面を間に間隙がある状態で覆うように内部チャンバボディ上に取り付けられ、ガス通路と流体連通する開口を有する、チャンバ天部と、ガスを励起してプラズマ状態にするように動作し、空洞と流体連通することができるように開口に結合されるプラズマ源とを含む。

Description

集積回路は、パターン化されたマイクロエレクトロニクス層が上に形成されたウェーハまたは基板から形成される。集積回路を製作するプロセスでは、それらのパターン化層を先に堆積されたブランケット層から形成するためのマスク層として、パターン化されたフォトレジスト層を使用するのが一般的である。パターン化された層の1層を基板上に形成した後、対応するフォトレジスト層をストリッパチャンバまたはアッシャ内で基板から除去してから、次のプロセスに進むことができる。
フォトレジスト剥離は、半導体製造ファウンドリにおいて頻繁に使用されるので、ストリッパまたはストリッパチャンバは、基板製造コスト全体を低減させるために、非常に短いプロセス時間、すなわち高スループットを有するように設計される。したがって、下流のプラズマストリッパチャンバの性能はしばしば、単位時間当たりのフォトレジスト除去速度として定義されるその剥離速度によって決まる。剥離速度により、基板がプラズマにどれだけ長く暴露されるかが決まる。ストリッパチャンバ内のプラズマが、基板上のさまざまな回路に損傷を与える恐れがあるため、イオン化ガスに対する基板の露出時間を、剥離速度を増加させることによって最小限に抑えることが好ましい。以後、イオン化ガスおよびプラズマという語は、区別なく使用される。
図1は、ストリッパチャンバの下流チャンバ100の概略図を示す。図示のように、剥離すべきフォトレジスト層を有する基板104を、ウェーハヒータチャック106により保持することができる。プロセスガスが、プラズマ源により励起されてプラズマ108になって、チャンバ壁102に開いた開口110を通ってチャンバ100内に入ることができ、そこから1つまたは複数のバッフルまたはディフューザ112に開いた穴を通過する。バッフル112は、基板表面でのガス流均一性を向上させるようにガスを分散させることができる。一般に、各バッフルは複数の穴122を含むことができる。図2は、図1のバッフル112のうち1つの平面図を示す。剥離均一性および剥離速度は、バッフル構成に大いに依存し得る。バッフルに開いた穴122のサイズおよび位置は、基板表面でのガス流の均一性を高めるように決定することができる。例えば、図2に示すように、バッフル112の中心からの距離が大きくなるに伴って、穴122のサイズを増大させることができる。というのも、バッフル112の中心の方が縁部よりも多くのガス流を受けることができるためである。ガスを分散させるための別の設計では、シャワーヘッドを使用することができる。しかし、シャワーヘッドに開いた穴の数およびサイズは、一般に背圧を形成するようなものである。背圧の形成により、シャワーヘッドの上方でガス流が減速して、流体力学的効率が減少し得る。
チャンバ100の剥離速度には、いくつかの要因によって悪影響が及ぶことがある。例えば、ガスまたはプラズマ108は、開口110を通って流れると、膨張してチャンバ内のより広い空間を満たす。この膨張により、ガス温度が減少することがある。剥離速度は、チャンバ温度および/または基板温度が増加するにつれて増加することが可能なので、ガス膨張により減少することがある。さらに、ガスは、バッフル112を通過するとき、その熱エネルギーの一部をバッフル112に伝達し、それにより、剥離速度が同じ理由で減少する。さらに、チャンバ内に再循環領域120が形成されることがある。再循環領域120内の流れの滞留時間は、ガスラジカルまたはイオン種の一部が再結合して中性種になるのに十分なほど長いことがある。再結合過程により、発熱反応エネルギーが発生することがあり、それが、チャンバ壁102およびバッフル112に伝達されることがある。また、プラズマと共に穴122を通過することがある中性種は、フォトレジスト層の除去に寄与することはできない。
チャンバ100を加熱するために、(図1に示す)複数のヒータ116をチャンバ壁102内に取り付けることができる。一般に、従来型のストリッパチャンバのヒータは、チャンバ温度を、単に壁面堆積を防止するためのレベルに維持するのに使用され、その結果、チャンバ温度は比較的低く維持される。しかし、ストリッパチャンバが、ガス温度を増加させ、それにより剥離速度を高めるという目的で加熱される場合、ストリップチャンバには、広範囲にわたる加熱装置、ならびに半導体産業装置安全要件(Semiconductor Industry Equipment Safety Requirements)、または略してSEMI S2を満足させるための、チャンバ壁上に取り付けられる複雑な冷却機構および/または熱絶縁物118が必要である。SEMI S2は、人間オペレータの安全のために、外壁温度が60℃未満であるように求めている。絶縁物118用の材料は、クリーンルーム適合性があるものを選択することができ、すなわちこの材料は粒子を発するべきではない。例えば、チャンバボディを一般的な工業用ガラス繊維断熱シートまたはブランケットで単に巻くことは許容されない。そうした要件により一般に、よりコストのかかる絶縁材料および/または複雑な冷却機構を選択することになり、それによりチャンバ設計の全体的な複雑さおよび製造コストが増す。したがって、剥離速度を高めるために高温ガスをもたらし、安全要件をコスト効率よく満足させることができる新しいストリッパチャンバが必要とされている。
米国特許出願第11/096,820号
一実施形態では、ガスを基板に供給するための真空チャンバが、内部チャンバボディを含み、内部チャンバボディは、基板を取り囲むための空洞を形成し、内部チャンバボディの中を延びて空洞に至る複数のガス通路と、内部チャンバボディを加熱するための1つまたは複数のヒータとを有する。内部チャンバボディは、内部チャンバの外面を間に間隙がある状態で取り囲むように構成される外部チャンバボディ上に、摺動可能に取り付けられる。排気ユニットが、空洞からガスをポンピングし、内部チャンバボディ上に取り付けられるチャンバ天部が、内部チャンバボディの上面を間に間隙がある状態で覆い、ガス通路と流体連通する開口を有し、プラズマ源がガスを励起し、空洞と流体連通することができるように開口に結合される。
別の実施形態では、ガスを基板に供給するための真空チャンバが、内部チャンバボディを含み、内部チャンバボディは、基板を取り囲むための空洞を形成し、開口と、内部チャンバボディを加熱するための1つまたは複数のヒータとを有し、真空チャンバはさらに、ガス分配構成部品を含み、ガス分配構成部品は、内部チャンバ上に摺動可能に取り付けられ、開口に嵌合する突出部を有し、ガス分配構成部品の中を延びて空洞に至る複数のガス通路を含む。内部チャンバボディは、内部チャンバの外面を間に間隙がある状態で取り囲むように構成される外部チャンバボディ上に、摺動可能に取り付けられる。排気ユニットが、空洞からガスをポンピングし、チャンバ天部が、ガス分配構成部品上に取り付けられて、それに固定され、内部チャンバボディの上面を間に間隙がある状態で覆うように構成され、ガス通路と流体連通する開口を有し、プラズマ源がガスを励起し、空洞と流体連通することができるようにチャンバ天部の開口に結合される。
フォトレジストストリッパチャンバの下流チャンバの断面図である。 図1に示すチャンバのバッフル板を示す図である。 一実施形態による一例示的ストリッパチャンバの断面図である。 図3の円で囲んだ2つのエリアの拡大図である。 図3の円で囲んだ2つのエリアの拡大図である。 図3の内部チャンバボディの低温時の平面図である。 図3のストリッパチャンバの一代替実施形態の断面図である。 図7の円で囲んだエリアの拡大図である。
チャンバ内に配置された基板上のフォトレジストの剥離速度を増加させるためのストリッパチャンバについて説明する。いくつかの実施形態は、とりわけ、ガス分配構成部品を含むことができ、ガス分配構成部品は、非常に狭い表面積がガスに露出し、また、その中に形成された複数のガス通路を含む。ガスは、複数のガス通路を通過するとき扇形に広がる。ストリッパチャンバは、ガスをさらに扇形に広げ、それにより基板表面全体にわたって均一に分配されたガス流をもたらすためのドーム形空洞を形成する内部チャンバボディを含むこともできる。「ドーム形」空洞は、好ましくは、基板から離れた方向に減少する幅または直径を有し、すなわち空洞は、円錐形、半球形、凹形、または他の形状とすることができる。
ガスを使用する剥離またはアッシングプロセスでは、ガスが高流量および高圧を有し、その結果、下流ストリッパチャンバの開口に大きなガス速度が生じ得る。いくつかの応用例では、参照によりその全体を本明細書に組み込む、2005年4月1日出願の係属中の米国特許出願第11/096,820号において推定されるように、その速度が最大177m/秒に達することがある。
図3は、符号300に示す、一実施形態によるガス分散機構を有する一例示的ストリッパチャンバの断面図を示す。図示のように、ストリッパチャンバは、基板332をその上に支持するための温度制御チャック334と、プロセスガス302を励起してプラズマ306にするためのプラズマ源304と、開口を有し、開口の入口がプラズマ源304の出口と整合された温度制御チャンバ天部308と、チャンバ天部308の下に配置され、基板332およびチャック334を取り囲み、エリア342内に示す流れの再循環および乱流を低減させるために内面が輪郭付けされた上部チャンバドームを含む、内部チャンバボディ310と、内部チャンバボディ310をその上に取り付けて、内部チャンバボディ310の側面を取り囲むための外部チャンバボディ314と、排気ユニット350とを含むことができる。
プロセスガス302は、所期のプロセスに応じて、酸素、窒素、塩素、アルゴン、キセノン、C(x≧1、y≧1)、およびC(x≧1、y≧1、z≧1)を含んでよい。プラズマ源304は、マイクロ波または高周波をエネルギー源としてよく、その内壁に沿って配置された、好ましくはサファイア製のガス輸送管を含んでよい。イオン化ガス306は、チャンバ天部308の開口を通過することができるので、熱エネルギーをチャンバ天部308に伝達し得る。チャンバ天部308は、チャンバ天部308から熱エネルギーを除去する1つまたは複数の液体冷却流路326を含むことができる。流路326を通って流れる液体、好ましくは水の流量および温度は、フィードバック制御システムによって制御することができる。
図3に示すように、内部チャンバボディ310の上部中央部分は、エリア346内に示すガス分配部を含むことができる。ガス分配部346の上面は、プラズマ源304によって励起されたプロセスガスが直接内部チャンバボディ310内に移ることができるように、チャンバ天部308に開いた開口の出口と整合させることができる。ガス分配部346は、複数のガス通路347を含むことができ、その場合、ガス通路347は、ガス通路347から出るガスが分散して、均一に扇形に広がるように、形状設定および方向設定することができる。例えば、ガス通路は、通路の軸が支持体334上のウェーハ332と交差するように角度付けすることができる。ガス分配部346によって分散されたガスは、プロセスガスを基板に隣接する領域内に集中させるために、上部チャンバドーム342の湾曲した内面により閉じ込めることができる。上部チャンバドーム342の輪郭付けされた内面と、ガス通路347の出口構成との組合せにより、ガスが矢印330で示すように分散され、それにより、均一に分配されたガス流が基板表面に発生する。内部チャンバボディ310の下部は、チャック340の周辺部を取り囲み、その周辺部から外側に離隔された垂直内壁を含むことができる。円形基板を処理する場合、内部チャンバ310の下部は、好ましくは、均一な厚さの円筒壁を備える。内部チャンバボディ310は、流れの方向を外部チャンバボディ314の中央にある出口358に向かって徐々に変更するために、その底部に湾曲した内壁部344を含むこともできる。
チャンバ天部308、内部チャンバボディ310、および外部チャンバボディ314は、耐プラズマ材料を使用して製造することができ、その場合、耐プラズマ材料は、金属または非金属材料から形成することができる。1種または複数種の金属を使用して部品が形成される場合、その部品は、アルミニウム、アルミニウム合金、ステンレス鋼および高ニッケル合金、石英、酸化アルミニウムセラミック、窒化アルミニウムセラミック、ならびに/または酸化イットリウムセラミックから形成することができる。任意選択で、金属を使用して製作された部品を、耐プラズマ被覆を用いて腐食に対して保護することができる。一例では、アルミニウムを、その自然表面酸化物が優れた腐食バリアをもたらすため使用することができる。フッ素含有プロセスガスを使用し、ある一定のプロセス条件下にある場合、アルミニウム自然酸化物は、基板上に汚染を生じさせるフッ化アルミニウムの形成を回避するのに十分な保護となることができない。アルミニウム部品上にフッ化アルミニウムが形成しないようにするために、そのような部品の表面に、フッ素化学作用に対して優れた耐性を有する被覆を施与することができる。アルミニウムおよびその合金上での陽極酸化、ならびにプラズマ溶射した酸化アルミニウム、ニッケルめっき、石英、酸化イットリウム、および/または他のセラミック材料などの被覆を、さまざまな化学作用から保護するために使用することができる。
内部チャンバボディ310はチャンバ天部308と、真空密閉エリアにおいて接触することができる。図4は、真空密閉エリアを含む、(図3に示す)円で囲んだエリア312の拡大図を示す。図示のように、チャンバ天部308と、内部チャンバボディ310上に形成された突出段または突出部404との間にOリング402を配設して、真空が維持されるのを可能にすることができる。チャンバ天部308およびチャンバ底部310は、好ましくは、アルミニウム合金などの金属製である。ヒータ322は、棒状のカートリッジヒータまたはバンドヒータでよい。突出部404もまた、チャンバ天部308の底面と金属接触(metal−to−metal contact)をする。内部チャンバボディ310および天部308は、異なる熱膨張を受けることがある。したがって、突出部404は、内部チャンバボディ310および天部308が昇温および冷却するときの、それらの間の熱膨張の不一致のため、天部308に対して摺動することがある。金属接触エリアにおいて発生する摺動または摩擦運動は、チャンバ内部に金属粒子を形成することがあり、基板332にとって有害となることがある。水処理中の底部310から天部308への熱伝達を最小限に抑えるために、金属接触エリアを最小限に抑えることが好ましい。突出部404は、摺動運動中にOリング402と依然として接触しながらも金属接触エリアを最小限に抑えるように、寸法設定することができる。最小限に抑えられた金属接触エリアは、内部チャンバボディ310からチャンバ天部308への熱伝達も低減させ、したがって内部チャンバボディ310を所望の温度範囲に維持するのに必要な熱電力(heat power)を低減させることができる。内部チャンバボディ310とチャンバ天部308との間の間隙318が、内部チャンバボディ310からチャンバ天部308への熱伝達の低減を助ける。
好ましい実施形態では、内部チャンバボディ310は、外部チャンバボディ314の側壁の上部内にある環状陥凹部内で支持される、外側に延びる環状突出部をその上部に含む。図5は、真空密閉エリアとしても機能する金属接触エリアを示す、図3の円で囲んだエリア320の拡大図を示す。図示のように、内部チャンバボディ310と外部チャンバボディ314との間にOリング506を配設して、真空が維持されるのを可能にすることができる。内部チャンバボディ310は、外部チャンバボディ314をプロセスガスから遮蔽することができ、内部チャンバボディ310を、それらの間の間隙316によって熱的に絶縁して、外部チャンバボディ314への熱伝達を低減し、それにより、1つまたは複数のヒータ322による適度の加熱で高温に維持することができる。例えば、連続する抵抗加熱ヒータ、または複数連の離隔された抵抗加熱ヒータを、チャンバボディ310内に組み込むことができる。
外部チャンバボディ314は、温度制御流体をその中に循環させることなど、任意の適切な機構によって、所望の温度に維持することができ、外部チャンバボディの温度は、好ましくは約60℃未満に維持される。外部チャンバボディ314は、熱除去用に液体を循環させるための1つまたは複数の液体冷却流路328を含むことができる。外部チャンバボディ314内に機械加工された溝内にポッティングされた(potted)銅配管など、従来型の冷却機構を使用することができ、任意選択で、流路328内の水などの冷却液の温度および流量を、フィードバック制御システムによって制御することができる。
内部チャンバボディ310の温度は、1つまたは複数の温度センサ325によって監視することができる。1つまたは複数の温度センサ325は、例えば、熱電対または抵抗温度検知器(RTD)でよい。1つまたは複数の温度センサ325は、1つまたは複数のヒータ322用の1つまたは複数の電源324を動作させるコントローラに接続することができ、その場合、1つまたは複数の電源324は、フィードバック(または自動)温度制御システムなどの温度調整システムとして機能することができる。一変形形態として、1つまたは複数の電源324は、内部チャンバボディ310の温度の手動調整を可能にすることができる。
図5に示すように、内部チャンバボディ310は、それぞれに対応するピン504を収容する1つまたは複数の溝穴502によって、外部チャンバボディ314と所望の整合で配置することができる。図示のように、溝穴502は、内部チャンバ310の熱膨張に対応するためにピン504よりも大きい。内部チャンバボディ310の温度は、動作中に、外部チャンバボディ314の温度よりもずっと高くなり得る。例えば、内部チャンバボディ310の動作温度は、約20〜350℃の範囲に及び得るが、外部チャンバボディの温度は60℃未満に維持され得る。
内部チャンバボディが高温時に自由に膨張するのを可能にすると同時に、それを外部チャンバに対して中央にある状態に維持するために、図6の”a”に示す整合フィーチャが使用される。図6の”a”および”b”はそれぞれ、室温(低温)時、および動作中の高温時の内部チャンバボディ310の平面図を示す。室温での取付け中、内部チャンバボディ310は、外部チャンバボディ314と同じ温度を有し得る。内部チャンバボディ310を、外部チャンバボディ314上に取り付けて、内部チャンバボディ310内に形成された3つの溝穴502、および外部チャンバボディ314に固定された3つのピン504によって、中央に置くことができる。動作中、内部チャンバボディ310は、その径方向に外部チャンバボディ314よりも大きな熱膨張を受け、それによりピン504がそれぞれ、溝穴502に沿って摺動し得る。溝穴502およびピン504は、浮動結合機構を形成して、内部チャンバボディ310と外部チャンバボディ314との間の熱膨張の不一致による損傷を防止することができ、すなわち、内部チャンバボディを中央にある状態に維持しながら制限のない熱膨張を可能にするために、内部チャンバボディ310を外部チャンバボディ314上に摺動可能に取り付けることができる。一変形形態として、溝穴を外部チャンバボディ314内に形成すると共に、ピンを内部チャンバボディ310に固定することができる。任意の適切な数のピンおよび溝穴を、符号300に示すストリッパチャンバ内で使用しても、他の取付け構成を必要に応じて使用してもよい。
図3および図6に示すように、内部チャンバボディ310はガス分配部346を含む。ガス分配部346は、ガスを扇形に広げるための複数のガス通路347を含むことができる。ガスがガス通路347を通過するときに扇形に広がるように、ガス通路347は、同じまたは異なるサイズの均一または不均一な断面を有してよく、かつ/または通路の軸を、内部チャンバボディ310の垂直中心軸から離れて角度付けすることができる。流れ条件および圧力条件、ならびにガスタイプが異なれば、最良の全体的性能を求めて最適化される、異なる通路角度および/または異なるサイズの通路を有する内部チャンバボディを使用することができる。一変形形態として、マイクロ波プラズマ源304内で発生した紫外線が基板表面に達するのを最小限に抑える、または達しないようにする角度で、各通路を傾けることができる。別の変形形態として、各ガス通路には、そこから出るガスの円周方向の均一性を向上させるために、その出口端部にフレアを付けることができる。ガス通路347の直径および数は、それが基板332全体にわたって均一なガス分配をもたらすが、プラズマ源304内に多量の背圧を形成しないように選択することができる。図6では、例示のため13個のガス通路しか示されていない。しかし、ガス通路347の数、サイズ、および位置は、チャンバ310内にイオン化プロセスガスの所望の分配をもたらすように調整することができる。ガス通路のより詳細な情報は、参照によりその全体を本明細書に組み込む、本願の譲受人に譲渡された米国特許出願第11/096,820号から入手することができる。
図3を再度参照すると、ガスは、排気ユニット350によって出口ポート358を通じて抜き取ることができる。チャンバのポンピングは、基板332上のフォトレジストの剥離速度に影響を及ぼすことがある。均一なポンピングを行うために、出口ポート358を、外部チャンバボディ314の底部で中央に配置することができる。排気ユニット350は、遮断弁352、および真空ポンプ356に結合された絞り弁354を含むことができる。
符号300に示すストリッパチャンバは、剥離プロセスに加えて、金属エッチング後プロセスを実施するために使用することができる。パッシベーションと呼ばれる金属エッチング後プロセスでは、チャンバ300は、新たにエッチングされた基板の腐食コントローラとして動作することができる。例えば、基板332上のアルミニウム層を塩素含有プロセスガスでプラズマエッチングした後、基板332上のClなどの残留エッチングガスを、それが基板332上の金属層を攻撃しないように、できる限り迅速に中和させる必要があり得る。水蒸気プラズマまたは単に水蒸気がパッシベーションに使用されるように、水蒸気をプラズマ源304を通じて、プラズマ源電力を用いて、または用いずに導入することができる。内部チャンバボディ温度の増加により、剥離速度、およびそのようなパッシベーションの効果が向上し得ることが分かっている。例えば、内部チャンバボディ温度が150℃から300℃に増加すると、剥離速度が20%向上され得る。そのような温度増加は、欠陥のある基板の数を50%よりも多く低減させることができる。理論に束縛されることは望まないが、この向上は2つの影響に基づくと考えられる。第1に、ガス分子が熱い表面につきにくいので、内部チャンバボディ310の表面上でのラジカルの再結合割合が、より高い表面温度時の方がより低くなり得る。第2に、バルクプラズマ温度の増加が、剥離速度およびパッシベーションに影響を及ぼし得る。より熱いプラズマの方が、剥離プロセス中にはフォトレジスト、またはパッシベーション中には(アルミニウムなどの)金属である材料の表面での反応速度を高めることができる。
大きなフォトレジスト剥離速度を達成するために、高電力プラズマ源(例えば6kW)を、大きなプロセスガス流量(6〜8リットル/分)と共に使用することが望ましい場合がある。ガス分配部346は、プラズマ源304の出口に隣接して配置することができるので、過熱され、内部チャンバボディ310の他の部分よりも早く腐食するため損傷を受ける恐れがある。一実施形態では、ガス分配部346は、維持管理のために交換することができるように、内部チャンバボディ310の残りから分離される。図7は、図3の符号300に示すストリッパチャンバの一代替実施形態700の断面図を示す。図示のように、符号700に示すストリッパチャンバは、基板738をその上に支持するための温度制御チャック740と、プロセスガス702を励起してプラズマ706にし、それらに限定されないがマイクロ波または高周波をエネルギー源とする供給源であるプラズマ源704と、中央開口を有し、開口の入口がプラズマ源704の出口と整合されたチャンバ天部708と、チャンバ天部708に固定され、複数のガス通路742がその中に形成されたガスインジェクタまたはガス分配構成部品712と、ガス分配構成部品712の下に配置され、流れの再循環および乱流を低減させるために流線形の内面を有する上部チャンバドーム、ならびに基板738および支持体740を支持体740の円周方向に取り囲む側面部を含む内部チャンバボディ710と、内部チャンバボディ710をその上に摺動可能に取り付けて、内部チャンバボディ710の側面を取り囲むための外部チャンバボディ730とを含む。
チャンバ天部708に開いた開口の出口は、ガス通路742の入口と流体連通する。ガス通路742は、ガス通路から出るガスが分散して、均一に扇形に広がるように、ガス分配構成部品712の中心線から離れて角度付けすることができる。ガス通路742の構成、サイズ、および数は、図6の”a”のガス通路347の構成、サイズ、および数に類似してよい。例えば、ガス通路742のサイズは、ガス分配構成部品712の中心からの距離が大きくなるに伴って増大してよい。しかし、ガス通路742の数、サイズ、および位置を、どんな所望の構成で設けてもよいことが明らかであろう。
符号300(図3)に示すストリッパチャンバと同様に、ガス分配構成部品712、チャンバ天部708、内部チャンバボディ710、および外部チャンバボディ730は、耐プラズマ材料を使用して製造することができ、その場合、耐プラズマ材料は、金属または非金属材料から形成することができる。1種または複数種の金属を使用してガス分配構成部品712が形成される場合、ガス分配構成部品712は、アルミニウム、アルミニウム合金、ステンレス鋼および高ニッケル合金、石英、酸化アルミニウムセラミック、窒化アルミニウムセラミック、ならびに/または酸化イットリウムセラミックから形成することができる。また、ガス分配構成部品712は、腐食に対して保護するために耐プラズマ被覆を有することもでき、その場合、被覆材料は、図3に示す実施形態において先に論じた被覆材料と類似してよい。ガス分配構成部品712の中央部は、実質的に平坦な円板形状を有することができる。しかし、ガス分配構成部品712は、他の適切な形状を有してもよい。ガス分配構成部品712のより詳細な説明は、本願の譲受人に譲渡された米国特許出願第11/096,820号から入手することができる。
ガス分配構成部品712は、ねじまたはボルトなどの適切な締結具716の使用により、チャンバ天部708の底面にしっかりと取り付けることができる。プラズマ源704は内部チャンバボディ710と、ガスがガス通路742を通って下流に内部チャンバボディ710まで輸送されるように、ガス分配構成部品712を通じて連通することができる。プロセスガスからガス分配構成部品712に伝達される熱エネルギーをチャンバ天部708に効率的に伝導することができ、それによりガス分配構成部品712の過熱を抑制することができるように、ガス分配構成部品712の上面の大部分がチャンバ天部708と接触してよい。真空密閉用に、チャンバ天部708とガス分配構成部品712との間にOリング714を配設することができる。チャンバ天部708は、1つまたは複数の液体冷却流路728を含むことができ、その場合、水などの冷却液の流量および温度を、フィードバック制御システムによって制御することができる。
上記で論じたように、ガス分配構成部品712およびチャンバ天部708は、比較的広い接触エリアを有する。それらは締結具716によって互いに固定されているが、熱膨張のわずかな不一致がそれらの間に生じることがあり、その結果、合わせ面間に摩擦が生じることがある。上記で論じたように、摩擦により金属粒子が形成することがある。Oリング714の内側で表面の摩擦を回避するために、真空Oリング714の内側で対向する表面間に小さな間隙721を導入することができ、その場合、Oリング714は、Oリングの外側の接触面間で発生することがある金属粒子を遮断することができる。
ガス分配構成部品712の温度が、符号700に示すストリッパチャンバの剥離速度に影響を及ぼし得ることに留意されたい。例えば、ガス分配構成部品712の表面上でのガスラジカルの再結合割合は、表面の温度によって変わり得る。符号100(図1)に示す下流チャンバでは、バッフル112のサイズのため、バッフル112の温度を調節することが困難なことがある。バッフル112の温度が時間的に変わるとき、プロセス結果が基板ごとに異なることがある。各バッフルの温度を一様に維持することも困難なことがある。図1に示すチャンバの場合、各バッフル112の温度は、バッフルの中央でより高いことがある。というのも、このエリアがプラズマ源の出口の直接下にあり、バッフルの他のエリアよりも多くの熱負荷を受けるためである。不均一な温度プロファイルにより、バッフル表面に不均一なラジカル再結合効率がもたらされることがあり、それによりその過程がさらに複雑になる。対照的に、ガス分配構成部品712は、従来型のバッフル112よりも大幅に小さな径方向寸法を有することができ、それにより、ガス分配構成部品712の径方向に沿ったその温度分布の均一性が高まって、時間的な温度変動が低減し得る。
内部チャンバボディ710は、上部チャンバドームを含むことができ、その場合、ドームの上部が、ガス分配構成部品712の底部段部または突出部を収容するための開口を有する。ガス分配構成部品712によって分散されたガスは、上部チャンバドームの内面によって閉じ込めて、さらに膨張することができる。内部チャンバボディ710はガス分配構成部品712と、真空密閉エリアにおいて金属接触することができる。図8は、真空密閉エリアを含む、(図7に示す)円で囲んだエリア720の拡大図を示す。図示のように、内部チャンバボディ710とガス分配構成部品712上に形成された突出部804との間に、Oリング802を配設することができる。図4に関連して論じたように、金属接触エリアは、金属粒子の形成、およびガス分配構成部品712と内部チャンバボディ708との間の熱伝達を低減させるために、最小限に抑えることができる。間隙723を使用して、内部チャンバボディ710と、チャンバ天部708との間、ならびにガス分配構成部品712との間の熱伝達を低減させることができる。
内部チャンバボディ710は外部チャンバボディ730上に、真空密閉エリア726において取り付けることができる。真空密閉エリア726の構造上および機能上の特徴は、図3のエリア320の構造上および機能上の特徴と同じでよい。内部チャンバボディ710は、1つまたは複数の電源724に結合された1つまたは複数のヒータ722によって加熱することができる。1つまたは複数のヒータ722は、1つまたは複数の棒状のカートリッジヒータまたはバンドヒータでよい。内部チャンバボディ710の温度は、1つまたは複数の温度センサ725によって監視することができる。温度センサ725は、1つまたは複数の電源724が温度センサ読取値に応答して活性化され、それにより自動温度制御システムをもたらすことができるように、コントローラと協働する。一変形形態として、1つまたは複数の電源724は、内部チャンバボディ温度の手動調整を可能にすることができる。
符号300に示すストリッパチャンバの場合と同様に、外部チャンバボディ730の温度は、安全のために、60℃など、所定のレベル未満に維持され得るが、内部チャンバボディ710の温度は、最大350℃まで達し得る。内部チャンバボディと外部チャンバボディとの間の熱伝達を最小限に抑えるために、それらの間に間隙734を設けることができる。また、外部チャンバボディ730は、その温度を制御するために、1つまたは複数の液体冷却流路732を含むことができる。内部チャンバボディ710は、外部チャンバボディ730の同様に形状設定された部分から間隙によって分離された、ガス流方向を排気ポート750に向かって徐々に進路変更するための湾曲した底部733を含むことができる。プロセスガスおよび副生成物を、排気ポート750を通じて、排気ポート750に結合された排気ユニット758によって抜き取ることができる。排気ユニット758は、遮断弁752、および真空ポンプ756に結合された絞り弁754を含むことができる。
以上、本発明を詳細に、その特定の実施形態に即して説明してきたが、添付の特許請求の範囲から逸脱することなく、さまざまな変更および修正を行い、等価物を使用できることが、当業者には明らかであろう。

Claims (23)

  1. 真空チャンバに取付け可能であり、プロセスガスを半導体基板に供給するためのガス分配部材であって、
    内部チャンバボディであって、その外面と前記真空チャンバの内面との間に間隙がある状態で、前記真空チャンバ上に摺動可能に支持されるように構成された当該内部チャンバボディと、
    前記内部チャンバボディの上部分の中を延びる複数のガス通路であって、プロセスガスを前記半導体基板に向かって前記真空チャンバ内に誘導するように適合された当該複数のガス通路と、
    前記基板を覆っていて、前記基板により近い位置でより広くなる空間内に前記プロセスガスを閉じ込めるように適合されたドーム形の内面と、
    を備えることを特徴とするガス分配部材。
  2. 前記複数のガス通路の少なくとも一部は、その軸が前記基板の露出面と交差するように角度付けされることを特徴とする請求項1に記載のガス分配部材。
  3. 前記内部チャンバボディによって支持され、前記内部チャンバボディを要求温度に加熱するように動作可能な1つまたは複数のヒータをさらに備えることを特徴とする請求項2に記載のガス分配部材。
  4. 前記内部チャンバボディの温度を監視するための1つまたは複数の温度センサをさらに含むことを特徴とする請求項1に記載のガス分配部材。
  5. 前記内部チャンバボディが金属体であり、前記金属体が、前記真空チャンバのチャンバ天部と金属接触および真空密閉を形成する突出部を含むことを特徴とする請求項1に記載のガス分配部材。
  6. 前記ボディが、メインボディと、前記複数のガス通路が配置された上部板とを備え、前記メインボディがその上壁に開いた開口を含み、前記上壁が前記開口を取り囲む真空密閉面を含み、前記上部板が、前記複数のガス通路を取り囲む真空密閉面と、前記上部板の前記真空密閉面と前記メインボディの前記真空密閉面との間に真空密閉を形成するように、それらの間に嵌められたOリングとを含むことを特徴とする、請求項1に記載のガス分配部材。
  7. 上部真空密閉面と下部真空密閉面とを備え、前記上部真空密閉面が前記複数のガス通路を取り囲み、前記真空チャンバの上壁の下面と真空密閉を形成するように適合され、前記下部真空面が前記ガス分配部材の外側側壁を取り囲み、前記真空チャンバの側壁の上部分の上面と真空密閉を形成するように適合されることを特徴とする請求項1に記載のガス分配部材。
  8. 上壁と、側壁と、底壁とを備え、前記上壁がその外周に向かって増加する厚さを有し、前記側壁がその上部でより大きい厚さを有し、前記底壁が、前記真空チャンバの底部にある基板支持体がそこに嵌まることができるように前記ガス分配部材が前記真空チャンバ内に下ろされるのを可能にするようにサイズが設定された中央開口をその中に有することを特徴とする請求項1に記載のガス分配部材。
  9. 前記複数のガス通路が、第1のゾーンに配置された入口、および前記第1のゾーンよりも面積が広い第2のゾーンに配置された出口を有し、前記第2のゾーンが、前記真空チャンバ内で処理すべき基板の露出面の面積の50%以下の面積にわたって広がり、前記ガス出口が、内側ガス出口がプロセスガスを前記基板の中央領域に向かって誘導し、外側ガス出口がプロセスガスを前記基板の外側領域に向かって誘導するように方向付けされていることを特徴とする請求項1に記載のガス分配部材。
  10. 請求項1に記載の部材を備える真空チャンバであって、
    前記内部チャンバボディをその上に摺動可能に取り付けるように適合され、前記内部チャンバの側面をそれとの間に間隙をもって取り囲むように構成された外部チャンバボディと、
    前記真空チャンバから前記ガスをポンピングするように動作する排気ユニットと、
    前記内部チャンバボディの上面それとの間に間隙をもって覆うように、前記内部チャンバボディに対して摺動可能に取り付けられ、前記複数のガス通路と流体連通する開口を有するチャンバ天部と、
    プロセスガスを励起するように動作し、前記チャンバ天部に開いた前記開口と流体連通することができるように前記開口に結合されるプラズマ源と、
    を備えることを特徴とする真空チャンバ。
  11. 前記外部チャンバボディが、前記外部チャンバボディから熱を除去するために、冷却流体の流れをその中に通すための少なくとも1つの流路を含むことを特徴とする請求項10に記載の真空チャンバ。
  12. 前記流路を通る前記冷却流体の流量を制御するためのフィードバック制御システムをさらに備えることを特徴とする、請求項11に記載の真空チャンバ。
  13. 1つまたは複数の温度センサに結合され、前記内部チャンバボディの温度の自動調整を行う温度調整システムをさらに含むことを特徴とする請求項10に記載の真空チャンバ。
  14. 前記温度調整システムが前記内部チャンバボディの温度を、20〜50℃、50〜100℃、100〜150℃、150〜200℃、200〜250℃、250〜300℃、または300〜350℃の範囲内に維持することを特徴とする請求項13に記載の真空チャンバ。
  15. 前記内部チャンバボディが前記外部チャンバボディ上に、複数の溝穴およびピンによって位置決めされ、前記溝穴がそれぞれ、前記内部チャンバボディの径方向に沿って延び、前記内部チャンバボディ内に形成され、かつ、それに沿って対応するピンが摺動するのを可能にするように構成され、前記ピンが前記外部チャンバボディに固定されることを特徴とする請求項10に記載の真空チャンバ。
  16. 前記内部チャンバボディが前記外部チャンバボディ上に、複数の溝穴およびピンによって位置決めされ、前記溝穴がそれぞれ、前記内部チャンバボディの径方向に沿って延び、前記外部チャンバボディ内に形成され、かち、それに沿って対応するピンが摺動するのを可能にするように構成され、前記ピンが前記内部チャンバボディに固定されることを特徴とする請求項10に記載の真空チャンバ。
  17. 前記チャンバ天部が、前記チャンバ天部から熱エネルギーを除去するために、冷却流体の流れをその中に通すための少なくとも1つの流路を含むことを特徴とする請求項10に記載の真空チャンバ。
  18. 前記流路の中に冷却流体を循環させる温度制御流体循環と協働するように動作可能なフィードバック制御システムをさらに備えることを特徴とする請求項17に記載の真空チャンバ。
  19. 半導体基板を処理する方法であって、
    請求項10に記載の真空チャンバ内に半導体基板を支持すること、
    前記プラズマ源の使用によりプラズマを発生させること、および
    前記半導体基板を前記プラズマで処理すること
    を含むことを特徴とする方法。
  20. 前記半導体基板がウェーハであり、前記処理することが、前記ウェーハ上のフォトレジスト層を剥離するステップを含むことを特徴とする請求項19に記載の方法。
  21. 前記半導体基板がウェーハであり、前記処理することが、金属エッチング後パッシベーションを含むことを特徴とする請求項19に記載の方法。
  22. 請求項14に記載のチャンバ内で半導体基板を処理する方法であって、前記半導体基板の暴露面をプラズマ処理することの間に、前記温度調整システムが前記内部チャンバボディの温度を、20〜50℃、50〜100℃、100〜150℃、150〜200℃、200〜250℃、250〜300℃、または300〜350℃の範囲内に維持することを特徴とする方法。
  23. 前記半導体基板がウェーハであり、前記プラズマ処理することが、前記ウェーハからフォトレジスト層を剥離することを含むことを特徴とする、請求項22に記載の方法。
JP2009530359A 2006-09-28 2007-09-13 フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計 Active JP4995915B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/528,275 US7476291B2 (en) 2006-09-28 2006-09-28 High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US11/528,275 2006-09-28
PCT/US2007/019886 WO2008042091A1 (en) 2006-09-28 2007-09-13 High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation

Publications (2)

Publication Number Publication Date
JP2010505265A true JP2010505265A (ja) 2010-02-18
JP4995915B2 JP4995915B2 (ja) 2012-08-08

Family

ID=39260096

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009530359A Active JP4995915B2 (ja) 2006-09-28 2007-09-13 フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計

Country Status (6)

Country Link
US (1) US7476291B2 (ja)
JP (1) JP4995915B2 (ja)
KR (1) KR101411674B1 (ja)
CN (1) CN101523592B (ja)
TW (1) TWI428713B (ja)
WO (1) WO2008042091A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014518452A (ja) * 2011-06-11 2014-07-28 東京エレクトロン株式会社 気相成長システム用のプロセスガスディフューザ組立体
JP2017073455A (ja) * 2015-10-07 2017-04-13 東京エレクトロン株式会社 接合システム
JP2017117978A (ja) * 2015-12-25 2017-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2018037546A (ja) * 2016-08-31 2018-03-08 株式会社ディスコ プラズマエッチング装置
JP2020530201A (ja) * 2017-08-07 2020-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
WO2024004849A1 (ja) * 2022-06-29 2024-01-04 エドワーズ株式会社 真空ポンプ
JP7493556B2 (ja) 2022-06-29 2024-05-31 エドワーズ株式会社 真空ポンプ

Families Citing this family (386)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8043434B2 (en) 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20110226280A1 (en) * 2008-11-21 2011-09-22 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5728482B2 (ja) 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US20110079580A1 (en) * 2009-10-07 2011-04-07 Texas Instruments Incorporated Lower chamber heaters for improved etch processes
US20110127156A1 (en) * 2009-11-30 2011-06-02 Applied Materials, Inc. Chamber for processing hard disk drive substrates
US9068262B2 (en) * 2010-05-21 2015-06-30 Applied Materials, Inc. Tightly fitted ceramic insulator on large area electrode
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
JP2014507788A (ja) * 2010-12-20 2014-03-27 サムスン エレクトロニクス カンパニー リミテッド 化学気相蒸着装置及びこれを用いた発光素子の製造方法
US9129778B2 (en) * 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
TWI563552B (en) * 2011-04-28 2016-12-21 Lam Res Corp Substantially non-oxidizing plasma treatment devices and processes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
JP5686261B2 (ja) * 2011-07-29 2015-03-18 セメス株式会社SEMES CO., Ltd 基板処理装置及び基板処理方法
CN103048377B (zh) * 2011-10-17 2015-06-10 中国科学院化学研究所 极紫外(euv)光刻胶超高真空热处理检测装置与方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102420120B (zh) * 2011-11-04 2016-08-03 中国科学院微电子研究所 一种进气结构
KR20140092892A (ko) * 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 개선된 증착 균일성을 위한 전구체 분배 피처들
JP5850236B2 (ja) * 2012-01-20 2016-02-03 アイシン精機株式会社 カーボンナノチューブの製造装置及びカーボンナノチューブの製造方法
JP5436706B2 (ja) * 2012-03-12 2014-03-05 キヤノン株式会社 計測装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
KR102133373B1 (ko) 2012-08-23 2020-07-13 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버들을 세정하기 위한 방법 및 하드웨어
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8872138B2 (en) * 2013-02-20 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at UV curing chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
KR102357845B1 (ko) * 2013-12-02 2022-01-28 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버의 인-시츄 세정을 위한 방법들 및 장치
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106935530B (zh) * 2015-12-31 2020-04-17 中微半导体设备(上海)股份有限公司 一种等离子体刻蚀光刻胶装置
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10483092B2 (en) * 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
ES2662939A1 (es) * 2017-09-13 2018-04-10 Consorci Per A La Construcció, Equipament I Explotació Del Laboratori De Llum De Sincrotró Dispositivo de deposición química de vapor remota asistida por plasma y método para producirlo
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20210019573A (ko) 2018-07-05 2021-02-22 램 리써치 코포레이션 기판 프로세싱 시스템에서 기판 지지부의 동적 온도 제어
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN109037103B (zh) * 2018-07-23 2020-03-17 华进半导体封装先导技术研发中心有限公司 一种应用雾化法清洗晶圆表面的半导体设备与工艺
CN109037105B (zh) * 2018-07-23 2020-03-17 华进半导体封装先导技术研发中心有限公司 半导体清洗设备及利用该设备清洗助焊剂的方法
CN109037104B (zh) * 2018-07-23 2020-04-14 华进半导体封装先导技术研发中心有限公司 半导体清洗设备及利用该设备清洗通孔的方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10872747B2 (en) * 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
KR102620219B1 (ko) * 2018-11-02 2024-01-02 삼성전자주식회사 기판 처리 방법 및 기판 처리 장치
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN111383889B (zh) * 2018-12-27 2023-03-24 中微半导体设备(上海)股份有限公司 一种包含热相变材料的等离子处理腔
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN112079573A (zh) * 2019-06-14 2020-12-15 江西沃格光电股份有限公司 蚀刻承载篮具
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR102277549B1 (ko) * 2019-07-18 2021-07-15 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
CN111599720A (zh) * 2020-05-25 2020-08-28 上海华力集成电路制造有限公司 一种气体分配器
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202212618A (zh) * 2020-09-02 2022-04-01 美商應用材料股份有限公司 控制偶然沉積的噴頭設計
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113488416B (zh) * 2021-07-06 2022-10-21 华海清科股份有限公司 晶圆后处理设备及其应用的通风系统
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114618852B (zh) * 2022-05-17 2022-08-16 江苏浦贝智能科技有限公司 一种半导体加工用除胶机及除胶方法
WO2024072668A1 (en) * 2022-09-30 2024-04-04 Lam Research Corporation Dome shaped chamber for generating in-situ cleaning plasma

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62123721A (ja) * 1985-11-25 1987-06-05 Hitachi Ltd 処理装置
JPH06507522A (ja) * 1991-05-21 1994-08-25 マティリアルズ リサーチ コーポレイション クラスタツールのソフトエッチングモジュールおよびそのecrプラズマ発生装置
JPH0878392A (ja) * 1994-09-02 1996-03-22 Mitsubishi Electric Corp プラズマ処理装置及び半導体ウエハの成膜加工方法
JPH08288266A (ja) * 1994-09-16 1996-11-01 Applied Materials Inc プラズマプロセス反応装置用ガス注入スリットノズル
JPH09503103A (ja) * 1994-02-03 1997-03-25 アプライド マテリアルズ インコーポレイテッド 半導体基板のストリッピング、パッシベーション及び腐食の抑制
JPH09320798A (ja) * 1996-05-27 1997-12-12 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH1022262A (ja) * 1996-07-02 1998-01-23 Hitachi Ltd ドライエッチング方法
JPH11140652A (ja) * 1997-11-06 1999-05-25 Anelva Corp 成膜処理装置内の付着金属膜のクリーニング方法
JP2000208498A (ja) * 1998-11-11 2000-07-28 Tokyo Electron Ltd 表面処理方法及びその装置
JP2002100571A (ja) * 2000-09-22 2002-04-05 Tokyo Electron Ltd 処理装置及び処理方法
JP2002164327A (ja) * 2000-11-27 2002-06-07 Seiko Epson Corp 半導体製造装置用石英チャンバー
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
JP2004296460A (ja) * 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc プラズマ処理装置
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
WO2005101100A2 (en) * 2004-04-08 2005-10-27 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
WO2006060827A2 (en) * 2004-12-03 2006-06-08 Mks Instruments, Inc Methods and apparatus for downstream dissociation of gases

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5897539A (en) * 1995-09-28 1999-04-27 Schering Aktiengesellschaft Hormone replacement therapy method and hormone dispenser
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100717583B1 (ko) * 2000-08-26 2007-05-15 주성엔지니어링(주) Pecvd 장치
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber

Patent Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62123721A (ja) * 1985-11-25 1987-06-05 Hitachi Ltd 処理装置
JPH06507522A (ja) * 1991-05-21 1994-08-25 マティリアルズ リサーチ コーポレイション クラスタツールのソフトエッチングモジュールおよびそのecrプラズマ発生装置
JPH09503103A (ja) * 1994-02-03 1997-03-25 アプライド マテリアルズ インコーポレイテッド 半導体基板のストリッピング、パッシベーション及び腐食の抑制
JPH0878392A (ja) * 1994-09-02 1996-03-22 Mitsubishi Electric Corp プラズマ処理装置及び半導体ウエハの成膜加工方法
JPH08288266A (ja) * 1994-09-16 1996-11-01 Applied Materials Inc プラズマプロセス反応装置用ガス注入スリットノズル
JPH09320798A (ja) * 1996-05-27 1997-12-12 Sumitomo Metal Ind Ltd プラズマ処理装置
JPH1022262A (ja) * 1996-07-02 1998-01-23 Hitachi Ltd ドライエッチング方法
JPH11140652A (ja) * 1997-11-06 1999-05-25 Anelva Corp 成膜処理装置内の付着金属膜のクリーニング方法
JP2000208498A (ja) * 1998-11-11 2000-07-28 Tokyo Electron Ltd 表面処理方法及びその装置
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
JP2002100571A (ja) * 2000-09-22 2002-04-05 Tokyo Electron Ltd 処理装置及び処理方法
JP2002164327A (ja) * 2000-11-27 2002-06-07 Seiko Epson Corp 半導体製造装置用石英チャンバー
JP2004296460A (ja) * 2003-03-25 2004-10-21 Hitachi Kokusai Electric Inc プラズマ処理装置
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置
WO2005101100A2 (en) * 2004-04-08 2005-10-27 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
WO2006060827A2 (en) * 2004-12-03 2006-06-08 Mks Instruments, Inc Methods and apparatus for downstream dissociation of gases

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014518452A (ja) * 2011-06-11 2014-07-28 東京エレクトロン株式会社 気相成長システム用のプロセスガスディフューザ組立体
JP2017073455A (ja) * 2015-10-07 2017-04-13 東京エレクトロン株式会社 接合システム
JP2017117978A (ja) * 2015-12-25 2017-06-29 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP2018037546A (ja) * 2016-08-31 2018-03-08 株式会社ディスコ プラズマエッチング装置
JP2020530201A (ja) * 2017-08-07 2020-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7028956B2 (ja) 2017-08-07 2022-03-02 アプライド マテリアルズ インコーポレイテッド プラズマエッチングプロセスでのコーティング部品を使用するプロセスウィンドウの拡大
WO2024004849A1 (ja) * 2022-06-29 2024-01-04 エドワーズ株式会社 真空ポンプ
JP7493556B2 (ja) 2022-06-29 2024-05-31 エドワーズ株式会社 真空ポンプ

Also Published As

Publication number Publication date
WO2008042091A1 (en) 2008-04-10
KR20090080510A (ko) 2009-07-24
KR101411674B1 (ko) 2014-06-25
CN101523592A (zh) 2009-09-02
CN101523592B (zh) 2011-07-13
TWI428713B (zh) 2014-03-01
US7476291B2 (en) 2009-01-13
TW200823610A (en) 2008-06-01
JP4995915B2 (ja) 2012-08-08
US20080078744A1 (en) 2008-04-03

Similar Documents

Publication Publication Date Title
JP4995915B2 (ja) フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計
JP4352234B2 (ja) リアクタ組立体および処理方法
KR100587629B1 (ko) 기판 표면에 걸쳐서 층류의 가스 흐름을 제공하는 가스분배판 조립체
EP1706889B1 (en) Gas distribution plate assembly for plasma reactors
EP1150330B1 (en) Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
TWI487021B (zh) 加工晶圓之方法
US8444926B2 (en) Processing chamber with heated chamber liner
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
KR100920280B1 (ko) 처리 장치
TW201718927A (zh) 具有漏斗狀氣體分散通道及氣體分配板的原子層沉積腔室
EP1741124A2 (en) Segmented baffle plate assembly for a plasma processing system
KR20150009941A (ko) 공냉식 페러데이 차폐부 및 이를 사용하기 위한 방법들
US11244839B2 (en) Plasma processing apparatus
JP2021002642A (ja) 基板処理装置
CN114341398A (zh) 温控吊灯型喷头
TW201814768A (zh) 用於處理製程副產物的設備及用於確定收集器的更換期的方法
JP4999441B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100901

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111124

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120420

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120510

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150518

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4995915

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250