JP2002100571A - 処理装置及び処理方法 - Google Patents

処理装置及び処理方法

Info

Publication number
JP2002100571A
JP2002100571A JP2000289499A JP2000289499A JP2002100571A JP 2002100571 A JP2002100571 A JP 2002100571A JP 2000289499 A JP2000289499 A JP 2000289499A JP 2000289499 A JP2000289499 A JP 2000289499A JP 2002100571 A JP2002100571 A JP 2002100571A
Authority
JP
Japan
Prior art keywords
gas
processing
container
excitation
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000289499A
Other languages
English (en)
Other versions
JP4232330B2 (ja
Inventor
Takeshi Sakuma
健 佐久間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2000289499A priority Critical patent/JP4232330B2/ja
Priority to US09/948,577 priority patent/US6506253B2/en
Publication of JP2002100571A publication Critical patent/JP2002100571A/ja
Application granted granted Critical
Publication of JP4232330B2 publication Critical patent/JP4232330B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32321Discharge generated by other radiation
    • H01J37/32339Discharge generated by other radiation using electromagnetic radiation

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 従来の光CVD装置に課せられていた構造上
の制約をなくすことができる処理装置を提供する。 【解決手段】 真空引き可能になされて内部に処理すべ
き被処理体Wを収容する処理容器22と、前記処理容器
とは別個に設けられて、励起光により処理ガスを励起さ
せて前記処理容器へ供給する励起ガスを形成する励起ガ
ス形成手段23とを備えて処理装置を形成する。このよ
うに、処理容器とは別体に励起ガスを形成する手段を設
けることにより、不都合な制約をなくすことが可能とな
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、処理装置に係り、
特に半導体ウエハ等の表面に例えば光CVD(Chem
ical Vapor Deposition)により
膜を堆積させるような処理装置及び処理方法に関する。
【0002】
【従来の技術】一般に、半導体デバイスを製造するに
は、半導体ウエハに成膜処理やパターンエッチング処理
を繰り返し行って所望のデバイスを製造するが、中でも
成膜技術は半導体デバイスが高密度化及び高集積化する
に伴ってその仕様(デザインルール)が年々厳しくなっ
てきており、しかもより薄くて、高い膜質特性が求めら
れている。このような状況下において、プラズマCVD
により堆積した膜よりも、イオン損傷がなく、且つ良質
なアモルファス膜を形成でき、しかも熱CVDよりも遥
かに低温で成膜出来ることから光エネルギーを用いた光
CVD法が着目されている。
【0003】この光CVD法を用いた装置は、例えば特
開昭61−183921号公報や特開平6−21604
1号公報等に開示されており、紫外線等を用いて成膜ガ
スを励起して活性化させ、これにより成膜反応を生ぜし
めるようになっている。この種の光CVDを行う従来の
処理装置の一例を図7を参照して説明する。図7におい
て、真空引き可能になされた処理容器2内には、加熱ヒ
ータ4を内蔵する載置台6が設けられており、この上面
に被処理体などの半導体ウエハWが載置される。この処
理容器2の天井部には、例えば石英等よりなる透過窓8
が気密に設けられており、この上方に設けた紫外線ラン
プ10から放射される紫外線UVを、上記透過窓8を介
して処理容器2内へ導入するようになっている。そし
て、処理容器2内においては、上記載置台6の上方に処
理ガス(成膜ガス)を導入するためにリング状になさけ
た処理ガスリングノズル12が設けられ、更に、このノ
ズル12と上記透過窓8との間に例えば不活性ガスを導
入するためにリング状になされたパージガスリングノズ
ル14が設けられている。
【0004】そして、処理ガスとして例えばジシラン
(Si26 )を処理容器2内へ導入し、これを紫外線
UVにより励起させて活性化し、ウエハ表面にここでは
アモルファスの良質のポリシリコン膜を堆積させること
ができる。この場合、ウエハ温度は、熱CVDよりも遥
かに低い、例えば200〜300℃程度でも成膜するこ
とができ、ウエハ表面に前工程で形成されている各種の
薄膜に熱的ダメージを与えることが非常に少ない、とい
った利点を有する。また、このようにプロセス温度が低
くても、膜が堆積することから、透過窓8の内面側にも
膜が堆積し易くなっているので、この部分にはノズル1
4からArガス等の不活性ガスを噴射して処理ガスをパ
ージし、透過窓8の内面に光透過率低下の原因となる不
要な膜が付着することを防止している。
【0005】
【発明が解決しようとする課題】ところで、上述した光
CVDによる処理装置では、次のような問題があった。
すなわち、上述のように透過窓8の内面側に不要な膜が
付着することを防止するためには、この内面にArガス
等の不活性ガスを噴射するが、この噴射は透過窓8の内
側全面に亘って行われなければならず、このため使用す
る不活性ガス(パージガス)量がかなり増大する、とい
った問題があった。また、透過窓8は平板状に形成され
ているが、この処理容器2内は真空であることから耐圧
性を持たせるために、透過窓8の板厚を非常に厚くしな
ればならず、また、板厚が厚いと、その分、石英ガラス
による光吸収が多くなって光照射効率が低下してしま
う、という問題もあった。
【0006】更には、紫外線ランプ10は透過窓8に対
して、平面的に複数本並列させて設けられるが、この配
列態様は限定されてしまい、より光強度を高くする配列
がとれなかったり、或いはより放射効率の良好な配列が
とれない、といった問題もあった。以上のような問題点
は、ウエハサイズが8インチから例えば12インチへ大
きくなるに従って、一層大きな問題となっており、早期
の解決が望まれている。本発明は、以上のような問題点
に着目し、これを有効に解決すべく創案されたものであ
る。本発明の目的は、処理容器とは別体に励起ガスを形
成する手段を設けることにより、上述した不都合な制約
をなくすことができる処理装置及び処理方法を提供する
ことにある。
【0007】
【課題を解決するための手段】請求項1に係る発明は、
真空引き可能になされて内部に処理すべき被処理体を収
容する処理容器と、前記処理容器とは別個に設けられ
て、励起光により処理ガスを励起させて前記処理容器へ
供給する励起ガスを形成する励起ガス形成手段とを備え
たことを特徴とする処理装置である。このように、処理
容器とは別個に設けた励起ガス形成手段にて励起ガスを
形成し、これを処理容器内へ導入するようにしているの
で、処理容器内にて励起ガスを形成することにより課せ
られていた構造上の制約をなくすことが可能となる。
【0008】この場合、例えば請求項2に規定するよう
に、前記励起ガス形成手段は、前記処理容器に連結され
て光透過性材料よりなる筒体状の励起ガス用容器と、こ
の励起ガス用容器内へ処理ガスを導入する処理ガス導入
手段と、前記励起ガス用容器の内壁面に沿ってパージガ
スを流すためのパージガス導入手段と、前記励起ガス用
容器の周囲に設けられて前記処理ガスに励起光を照射し
て励起ガスを形成する励起光照射手段とを備えている。
これにより、励起ガス用容器を筒体状に成形することか
ら耐圧性が高くなり、その分、その厚さを薄くして励起
光の吸収を抑制し、結果的に、光照射効率を高めること
が可能となる。更には、励起ガス用容器の内壁面に光透
過率低下の原因となる不要な膜が付着することを防止す
るために、この内壁面に沿ってパージガスを流してパー
ジガスのカーテンを作るようにしているので、必要とす
るパージガス量も抑制することが可能となる。また、励
起ガス用容器を筒体状に成形していることから、その全
周に励起光照射手段を設けることによりその励起光の強
度を向上させることが可能となる。
【0009】請求項3に規定するように、前記筒体状の
励起ガス用容器内には、複数の流通孔が形成された光透
過性材料よりなる筒体状の仕切筒が設置されており、前
記励起ガス用容器内を、主に処理ガスが流れる励起用空
間と主にパージガスが流れるパージ用空間とに同心状に
仕切るように構成する。これにより、パージガスはパー
ジ用空間を案内されて流れつつ、その流通孔から励起用
空間に少しずつ流れ込んで仕切筒の内壁面に沿ってパー
ジガスが流れることから、励起ガス用容器の内壁面は勿
論のこと、仕切筒の内壁面にも不要な膜が付着するのを
防止することが可能となる。
【0010】この場合、例えば請求項4に規定するよう
に、前記パージ用空間は、前記励起用空間よりも陽圧状
態になされている。これにより、パージガスをパージ用
空間より励起用空間側へ確実に流すことが可能となる。
また、例えば請求項5に規定するように、前記励起ガス
用容器の外側に設けられて前記励起ガス用容器を透過し
てくる励起光の光量を測定する光量センサ部と、この光
量センサ部の出力に基づいて前記励起光照射手段の出力
を制御する光量制御部とを備える。これによれば、励起
ガス用容器を通過してくる励起光の光量を光量センサ部
で検出して光量制御部で励起光照射手段の出力を制御し
ているので、励起ガスの励起エネルギーを一定に維持す
ることができる。
【0011】また、請求項6に規定するように、前記処
理容器と前記励起ガス用容器との連結部には、両容器間
を連通または遮断する容器開閉弁が設けられると共に、
前記励起ガス用容器側からは必要時に前記処理容器を迂
回して排気する迂回流路が延びて形成されるようにして
もよい。これによれば、特に、励起ガス用容器内をクリ
ーニングする等の必要時には、開閉弁を閉じて励起ガス
用容器と処理容器との間を遮断し、そして、励起ガス用
容器内に流したクリーニングガスを迂回流路に流通させ
ることにより処理容器を迂回させるようにすれば、励起
ガス用容器内を単独でクリーニングすることが可能とな
る。
【0012】また、例えば請求項7に規定するように、
前記励起ガス用容器は、前記処理容器の上方に配置され
ており、前記処理容器の天井板は、ガス入口を中心とし
て末広がり状に形成されている。これによれば、処理容
器内へ導入された励起ガスは末広がり状の天井板に沿っ
て拡散しつつ流れるので、被処理体の面内方向に亘って
略均一に励起ガスを分布させることが可能となる。ま
た、例えば請求項8に規定するように、前記処理容器内
には、前記被処理体を載置するために昇降可能になされ
た載置台が設けられる。これによれば、載置台を昇降さ
せることにより励起ガスの濃度分布が最適な高さ位置に
被処理体を設置することが可能となる。
【0013】また、例えば請求項9に規定するように、
前記励起光は紫外線であり、前記処理ガスは成膜用ガス
である。請求項10に係る発明は、上記処理装置によっ
て実施される方法発明を規定したものであり、励起光に
より処理ガスを励起させて励起ガスを形成し、この励起
ガスを、真空引き可能になされた処理容器内へ導入して
被処理体に対して所定の処理を施すようにしたことを特
徴とする処理方法である。
【0014】
【発明の実施の形態】以下に、本発明に係る処理装置及
び処理方法の一実施例を添付図面に基づいて詳述する。
図1は本発明に係る処理装置を示す断面構成図、図2は
処理容器の内部構造を示す一部破断斜視図、図3は処理
容器の全体構造を示す横断面図である。ここでは処理装
置として枚葉式の処理装置を例にとって説明する。ま
た、処理としては、ポリシリコン膜を堆積し、そして、
クリーニング処理する場合を例にとって説明する。図示
するようにこの処理装置20は、例えば断面の内部が略
円形状になされたアルミニウム製の処理容器22と、こ
の上方に設けた励起ガス形成手段23とを有している。
この処理容器22内の天井板24はその中心部にガス入
口26が設けられて、これを中心として末広がりに、例
えば円錐形状に形成されており、このガス入口26から
処理空間Sに向けて後述するように励起ガスを流入させ
るようになっている。尚、この励起ガスを導入するため
にシャワーヘッド構造を用いてもよい。
【0015】また、処理容器22の側壁22Aには、こ
の処理容器22内に対して被処理体としての半導体ウエ
ハWを搬入搬出するための搬出入口28が設けられると
共に、この搬出入口28には、気密に開閉可能になされ
たゲートバルブ30が設けられている。また、処理容器
22の側壁22Aには温調媒体により温度コントロール
される温調ジャケット32が設けられる。そして、この
処理容器22内には、底部22Bを貫通させて、例えば
円筒体状の支柱34が設けられており、この上端部に円
板状の載置台36が固定されている。この載置台36
は、加熱手段として例えば内部に所定のパターン形状に
配置された抵抗加熱ヒータ38を有しており、この外側
は焼結された例えばAlN等よりなるセラミックスによ
り構成され、上面に被処理体としての半導体ウエハWを
載置し得るようになっている。また、上記抵抗加熱ヒー
タ38は上記支柱34内を配設された給電線(図示せ
ず)に接続されて、電力を制御しつつ供給できるように
なっている。この場合、上記ヒータ38の内部には、図
示しない熱電対等の測温体があり、この温度をコントロ
ールしている。この支柱34の容器底部22Bに対する
貫通部には、金属製の蛇腹よりなるベローズ40が気密
に設けられており、処理容器22内を気密に維持しなが
らこの載置台36を昇降可能としている。
【0016】上記載置台36には、この上下方向に貫通
して複数のピン孔42が形成されており、各ピン孔42
には、下端が連結リング44に共通に連結された例えば
石英製の押し上げピン46が遊嵌状態で収容されてい
る。そして、上記連結リング44は、作動杵48に押し
上げ可能になされ、この作動杵48は、容器底部22B
を貫通させて設けられており、図示しないエアシリンダ
により上記各押し上げピン46をウエハWの受け渡し時
に各ピン孔42の上端から上方へ出没させるようになっ
ている。また、作動杵48の容器底部22Bの貫通部に
は、ベローズ50が介設されており、上記作動杵48が
処理容器22内の気密性を維持しつつ昇降できるように
なっている。そして、容器底部22Bの周辺部には、真
空排気口52が形成されており、この真空排気口52に
は、圧力調整弁54、排気開閉弁56及び真空ポンプ5
8が順次介設された排気管60が接続されており、処理
容器22内の雰囲気を真空引きできるようになってい
る。
【0017】一方、上記励起ガス形成手段23は、筒体
状に成形された励起ガス用容器62と、この容器62内
へ処理ガスを導入する処理ガス導入手段64と、上記容
器62の内壁面に沿ってパージガスを流すためのパージ
ガス導入手段66と、上記励起ガス用容器62の周囲に
設けられた励起光照射手段68とにより、主に構成され
ている。具体的には、上記励起ガス用容器62は、光透
過性材料として例えば石英ガラスにより円筒体状に成形
されている。そして、この内側には、同じく光透過性材
料である石英ガラスにより円筒体状に成形された厚さの
薄い仕切筒70が同心円状に配置されており、この仕切
筒70内の空間を主に処理ガスが流れる励起用空間72
とし、仕切筒70とこの外側の励起ガス用容器62との
間に形成されるリング状の空間をパージガスが流れるパ
ージ用空間74としている。この場合、励起ガス用容器
62は円筒体形状なので、これが平板の場合と比較して
耐圧性が高くなるので、その分、容器62の厚さが薄く
なっている。
【0018】そして、上記仕切筒70には、直径が0.
2〜数mm程度の多数の流通孔76が全面に亘って形成
されており、後述するように外側のパージ用空間74側
から励起用空間72に向けてパージガスが流入し得るよ
うになっている。この励起ガス用容器62の天井部に
は、例えばアルミニウム製の天井板78がOリング等の
シール部材80を介して気密に取り付けられている。ま
た、励起ガス用容器62の底部には、例えばアルミニウ
ム製の底板82がOリング等のシール部材84を介して
気密に設けられており、しかも、パージ用空間74の底
部は底板82により封止される共に、励起用空間72の
底部は下方へ屈曲されて開口され、ここにガス出口84
を形成している。そして、このガス出口84と、この下
方に位置する処理容器22の天井板24のガス入口26
との間は、長さが短い連結管86により連結されると共
に、この連結管86には、必要に応じて開閉されること
により、上下の両容器62、22間を連通、または遮断
する容器開閉弁88が設けられている。また、この連結
管86と前記排気管60の排気開閉弁58の下流側とを
連結するようにして、途中にバイパス開閉弁90を介設
した迂回流路92が設けられており、必要時に励起ガス
用容器62内の雰囲気を、処理容器22内に流すことな
くこれをバイパスさせて排気できるようになっている。
【0019】そして、上記処理ガス導入手段64は、上
記天井板78に設けられて励起用空間72に臨ませた処
理ガスノズル94を有しており、このノズル94には、
ガス流路98を介して処理ガスを貯留する処理ガス源9
6が接続されている。この処理ガスとしては、ここでは
成膜用ガスである例えばジシラン(Si26 )が用い
られる。そして、このガス流路98からはクリーニング
ガスとして、例えばClF3 ガスを貯留するクリーニン
グガス源100に接続される分岐路102が分岐させて
設けられる。また、上記パージガス導入手段66は、上
記パージ用空間74内の上端に設けた円形リング状のパ
ージガスリングノズル104を有しており、このノズル
104の下面には、その周方向に沿って多数のガス噴射
孔106が形成されている。このパージガスリングノズ
ル104にはガス流路108を介してパージガスを貯留
するパージガス源110が接続されている。このパージ
ガスとしては、ここでは例えばArガスが用いられる
が、他に、Heガス、N2 ガス、H2 ガス等を用いるこ
とができる。
【0020】そして、上記各ガス流路98、108及び
分岐路102には、それぞれ流れるガス流量を制御する
マスフローコントローラのような流量制御器112A、
112B、112C及び開閉弁114A、114B、1
14Cがそれぞれ介設される。また、上記励起光照射手
段68は、ここでは上記励起ガス用容器62の外周に、
この高さ方向に沿って配置された複数、ここでは8本の
紫外線ランプ116を有しており、この紫外線ランプ1
16は図3にも示すように、励起ガス用容器62の周方
向に沿って略等間隔で配置されており、励起光としてこ
こでは紫外線UVを励起ガス用容器62の中心部に向け
て照射するようになっている。尚、紫外線ランプ116
は8本に限定されず、必要に応じて増減するようになっ
ている。そして、これらの紫外線ランプ116の外側を
覆うようにして、例えばステンレス製の円筒体状の反射
板118が設置されており、各ランプ116から放射さ
れた紫外線UVをその中心方向に向けて反射させて光利
用効率を高めるようになっている。
【0021】また、上記紫外線ランプ116の設置領域
には、容器中心方向に向けて光量センサ部120が設け
られており、これに対向する側から励起ガス用容器62
(仕切筒70も含む)を透過してくる励起光である紫外
線UVの光量を測定するようになっている。この光量セ
ンサ部120の検出値は例えばマイクロコンピュータ等
よりなる光量制御部122へ入力するようになってい
る。この光量制御部122は、検出値に基づいてランプ
電源124からの出力を最適値になるように制御するよ
うになっている。尚、ここで上記励起ガス用容器62及
び仕切筒70の内径はそれぞれ100mm程度及び50
mm程度であり、高さは共に100〜700mm程度で
ある。
【0022】次に、以上のように構成された本実施例を
用いて行なわれる処理方法について説明する。ここで
は、前述したように半導体ウエハ上にポリシリコン膜を
形成し、その後、クリーニング処理する場合を例にとっ
て説明する。まず、未処理の半導体ウエハWは、図示し
ない搬送アームに保持されて開状態となったゲートバル
ブ30、搬出入口28を介して処理容器22内へ搬入さ
れ、このウエハWは、上昇された押し上げピン46に受
け渡された後に、この押し上げピン46を降下させるこ
とにより、ウエハWを予め所定の温度に維持された載置
台36の上に載置させる。そして、ウエハWの温度はプ
ロセス温度、例えば200〜300℃程度に昇温維持さ
れると共に、処理容器22内は真空引きされて所定の圧
力、例えば100Pa程度に維持される。
【0023】ここで、連通管86に介設された容器開閉
弁88は開状態になされており、両容器62、22は連
通されている。そして、この励起ガス形成手段23にて
形成した成膜用ガスの励起ガスは上記連通管86を介し
て処理容器22内の処理空間Sへ流下して流れ込み、こ
の励起ガスはここで拡散して分解され、ウエハW上にポ
リシリコン膜が形成されることになる。ここで上記励起
ガス形成手段23内における励起ガスの形成について詳
しく説明する。
【0024】まず、処理ガス導入手段64の処理ガスノ
ズル94からは、励起用空間72内へ流量制御されたジ
シランSIを導入し、また、パージガス導入手段66の
パージガスリングノズル104からはリング状のパージ
用空間74内へ流量制御されたアルゴンガスPAを導入
する。この時のそれぞれの流量は、例えばジシランが1
00sccm程度、アルゴンガスが1000sccm程
度であり、また、この流量はパージ用空間74が、その
内側の励起用空間72よりも少し陽圧状態となるように
設定されている。これと同時に、励起用照射手段68の
各紫外線ランプ116から励起光として紫外線UVを容
器中心に向けて照射する。これにより、励起用空間72
を流下してくるジシランは紫外線UVの照射により次第
に励起され、且つ活性化される。このような励起され、
且つ活性化された成膜用ガスが励起用ガス容器62の内
壁面や仕切筒70の内壁面と接触すると、そこで容易に
不要な膜(ポリシリコン膜)が付着形成されてしまう
が、本実施例の場合には、上述のようにパージガスを流
しているので、そのような不要な膜が付着することを極
力抑制することが可能となる。すなわち、パージガスリ
ングノズル104の各ガス噴射孔106からここでは下
方向に向けてパージガスを噴射して流下させているの
で、これが励起用ガス容器62の内壁面に沿って流れ、
ここに例えばアルゴンガスのカーテンをあたかも形成し
ているように作用し、上記励起ガスが励起ガス用容器6
2の内壁面に接することを阻止することが可能となる。
【0025】更には、上述のようにこのパージ用空間7
4は、この内側の励起用空間72よりも陽圧状態になさ
れているので、パージガスPAは仕切筒70に多数形成
した流通孔76を介して励起用空間72内側へ流れ込
み、そして、この流れ込んだパージガスPAは仕切筒7
0の内壁面に沿って流下し、上述したと同様にここに例
えばアルゴンガスのカーテンをあたかも形成しているよ
うに作用し、上記励起ガスが仕切筒70の内壁面に接す
ることを阻止することが可能となる。また、仕切筒70
の外周面にも沿ってパージガスが流れているので、ここ
にも不要な膜が付着しないのは勿論である。
【0026】このように、励起ガス用容器62の内壁面
や仕切筒70の内壁面及び外周面に不要な膜が付着する
ことを略完全に阻止することができるので、励起光の照
射効率を高めることができる。また、処理空間Sへ導入
された励起ガスは、円錐状の天井板24の頂点部分に位
置するガス入口26から末広がり状に周辺部に向かって
拡散しつつ流下するので、ウエハW上において励起ガス
濃度の面内均一性を高くすることができる。ここで、上
記パージガスの励起ガスに対するパージ効果についてシ
ミュレーションを行ったので、そのシミュレーション結
果について図4を参照して説明する。
【0027】図4中において、励起ガス用容器62と処
理容器22のそれぞれ1/2の断面を示しており、濃度
分布中の各数値はパージガスの処理ガスに対する相対的
な濃度値を示している。すなわち、パージガス濃度10
0%が数値”1”を示している。従って、濃度値が大き
い程、その部分におけるパージガスが多く、逆に濃度値
が小さい程、その部分における処理ガスが多くなる。こ
の図4から明らかなように、励起ガス用容器62の内壁
面に沿って高い濃度、例えば”1.00”濃度のパージ
ガスが流れているのは勿論のこと、仕切筒70の内壁面
側及び外周面側にも高い濃度、例えば”0.9”濃度の
パージガスが流れて、この部分に励起ガスが侵入してく
ることを効果的に阻止していることが判明した。
【0028】これに対して、ウエハWの上方の処理空間
Sにおけるパージガスの濃度は”0.17〜0.30”
程度の非常に狭い範囲であり、換言すれば、励起ガスの
濃度値は”0.83〜0.70”程度の範囲であること
から、励起ガスの濃度の面内均一性を比較的高く維持で
きることが判明した。この場合、プロセス条件に応じて
この濃度分布も変化するが、この場合には処理容器22
内の載置台36を昇降させてその高さ位置を調整するこ
とにより、ウエハWを最適な濃度分布の所に位置させる
ことができる。また、本実施例ではリング状に形成され
たパージガスリングノズル104からパージガスを流下
させて、いわゆるパージガスのカーテンを作るようにし
ているので、従来装置のように平板状の透過窓8(図7
参照)の下面全面にパージガスを平面的に吹き付ける場
合と異なり、使用するパージガスの流量を大幅に削減す
ることが可能となり、その分、ランニングコストを減少
させることができる。
【0029】また、ここではパージ用空間74の下端を
底板82により封止しているので、この空間74に導入
されたパージガスは最終的に流通孔76を介して全て励
起用空間72側に流入するので、この点よりも、上記し
たパージガスのカーテンを確実に形成することができ
る。また、励起ガス用容器62は円筒体状に成形されて
いるので、従来装置のような平板状の透過窓8とは異な
ってその耐圧性を高くでき、従ってその分、励起ガス用
容器62の厚さを薄くできる。また、容器62の厚さを
薄くできることから、その分、容器62の石英ガラスに
よる紫外線UVの吸収量を抑制でき、光利用効率を一層
向上させることが可能となる。また、上述のように励起
ガス用容器62を円筒体状に成形するようにしたことか
ら、必要に応じてより多くの紫外線ランプ116をその
周囲に配置して容器62の中心部に向けてその全周方向
から紫外線UVを照射することができ、従来装置のよう
に透過窓8を平板状に成形した場合と異なり、より強い
紫外線UVを照射することが可能となる。
【0030】また、プロセス温度は、前述したように2
00〜300℃程度に設定されるが、処理容器22の側
壁に設けた温調ジャケット32にチラー等の冷媒を通し
てこの温度を、上記温度よりも低い温度、例えば15℃
程度に維持しておけば、この側壁に付着する不要な膜を
極力抑制することができる。更に、ウエハWの処理数枚
を重ねて行けば、少しずつであるが上記励起ガス用容器
62の内壁面や仕切筒70の内外両面に不要な膜が付着
することは避けられず、次第に紫外線UVの透過光量は
低下させざるを得ない。しかしながら、本実施例では励
起ガス用容器62の外側に光量センサ部120を設けて
この光量センサ部120により、励起ガス用容器62を
この直径方向に透過してくる紫外線UVの光量を常時検
出しており、そして、この検出値が常時一定となるよう
に光量制御部122はランプ電源124を制御している
ので、常時安定した光量で処理ガスを励起させることが
できる。
【0031】この場合、励起ガス用容器62内にて不要
な膜が付着するに従って、透過光量が低下するので、こ
れを補償するためにランプ電源124からの出力パワー
が増大するが、ある一定値以上に出力パワーが増大した
時には、限界量以上に不要な膜が付着したものとしてこ
の不要な膜を除去するクリーニング処理を行う。このク
リーニング処理を行う場合には、処理ガス及びパージガ
スの供給を停止し、代わりにクリーニングガス(ClF
3 )を流量制御しつつ流して、特に仕切筒70の内外表
面に付着していた不要な膜であるポリシリコン膜を除去
する。クリーニング処理を行う場合、この励起ガス用容
器62内のみならず、処理容器22内もクリーニングす
る場合には、上記したように処理ガスと同様にクリーニ
ングガスを処理ガスノズル94から励起ガス用容器62
に導入してここで不要な膜を除去し、更にこのクリーニ
ングガスを処理容器22内へ流下させて載置台36の表
面や処理容器22の内壁面に付着している不要な膜を除
去すればよい。
【0032】これに対して、処理容器22内のクリーニ
ングは不要で、励起ガス用容器62内のクリーニングの
みを行いたい場合も生ずる。このような場合には、ま
ず、連通管86に設けた容器開閉弁88を閉状態にする
ことにより、両容器62、22間を遮断し、更に、処理
容器22の排気管60に介設した排気開閉弁56も閉状
態として処理容器22内を孤立化させ、そして、迂回流
路92に介設したバイパス開閉弁90を開状態とするこ
とにより、励起ガス用容器62内のみを真空引き可能状
態とする。この状態で、上述したように処理ガスノズル
94からクリーニングガスを供給することにより、この
内部の不要な膜を除去する。そして、このクリーニング
ガスは、処理容器22内へ流入することなく迂回流路9
2を介して直接排気管60側へ真空排気されることにな
る。尚、このクリーニング処理時には、ここでは処理ガ
スノズル94のみからクリーニングガスを流すようにし
たが、これに加えて、パージガスリングノズル104か
らもクリーニングガスを流すようにしてもよい。尚、ク
リーニングガスとして、ここではClF3 ガスを用いて
いるが、これに限定されず、膜種に対応した他のクリー
ニングガス、例えば他のフッ素系ガスとしてNF3 ガス
等を用いてもよい。
【0033】また、ここでは励起ガス用容器62内に仕
切筒70を設けて、容器62内を励起用空間72とパー
ジ用空間74とに仕切ったが、これに限定されず、図5
に示すように励起ガス用容器62内に仕切筒70(図1
参照)を設けないようにしてもよい。この場合には、パ
ージガスリングノズル104から導入されたパージガス
が、仕切筒70により仕切られていない分だけ容器中心
方向へやや拡散する傾向となるが、それでも励起ガス用
容器62の内壁面に沿ってパージガスが流れてこの部分
にパージガスのカーテンを形成できるので、この内壁面
に励起ガスが接触することを阻止して不要な膜が付着す
ることを防止できる。そして、この場合にも、図2にて
示した装置例と同様な作用効果を発揮し、パージガスの
供給量を少なくでき、また、励起ガス用容器62の耐圧
性も向上できるのでこの厚さも薄くできる。特に、本実
施例の場合には、石英ガラス製の仕切筒70(図1参
照)を設けていないので、その分、仕切筒70によって
吸収されていた紫外線UVの光量だけ光使用効率を向上
させることが可能となる。
【0034】また、以上の実施例においては、処理容器
22の上方に、励起ガス形成手段23を配置したが、こ
れに限定されず、処理容器22の側部に励起ガス形成手
段23を設けるようにしてもよい。図6はこのような本
発明の変形例を示す断面構成図である。図6において
は、図1及び図2に示す構成部分と同一構成部分につい
ては同一符号を付してその説明を省略する。図6に示す
ように、ここでは処理容器22の側壁にガス入口132
を設け、ここに図1に示した励起ガス形成手段23を横
置きにした状態で、励起用空間72のガス出口84を、
連結管86を介して接続している。この場合、処理容器
22の天井板24Aは末広がり形状にする必要はないの
で平板状に形成されている。また更に、この実施例の場
合には、励起ガスが容器側壁に設けたガス入口132よ
り偏在して流れて来るので、載置台36を支持する支柱
34は容器底部22Bに、例えば磁性流体シール130
を介して支持されており、この載置台36を処理容器2
2内の気密を維持しつつ回転可能としている。これによ
り、半導体ウエハWに対する処理の面内均一性を確保す
るようになっている。この変形例においても、先に図1
を参照して説明した実施例と同様な作用効果を発揮する
のは勿論である。
【0035】尚、以上の実施例ではジシランを用いてポ
リシリコン膜を堆積させる場合を例にとって説明した
が、これに限定されず、モノシラン(SiH4 )を用い
てもよい。更には、ポリシリコン膜の堆積に限定され
ず、各種の酸化膜、窒化膜、金属膜等の堆積、或いはこ
れらの膜のエッチング処理にも本発明を適用することが
できる。具体的には、処理ガスとして、例えばAl(C
33 、Cd(CH32 、Zn(CH32 、Sn
(CH34 、Ga(CH33 、B(CH33、S
i(CH34 、Ge(CH34 、Cr(CO)6
Mo(CO)6 、W(CO)6 、NO2 、SiH4 、N
4 、C2 、H2 等を用いることができる。また、ここ
では励起光照射手段68として紫外線ランプ116を用
いたが、これに代えて紫外線レーザ源を用いてもよい。
更に、被処理体としては、半導体ウエハに限定されず、
ガラス基板、LCD基板にも本発明を適用することがで
きる。
【0036】
【発明の効果】以上説明したように、本発明の処理装置
及び処理方法によれば、次のように優れた作用効果を発
揮することができる。請求項1、9及び10に係る発明
によれば、処理容器とは別個に設けた励起ガス形成手段
にて励起ガスを形成し、これを処理容器内へ導入するよ
うにしているので、処理容器内にて励起ガスを形成する
ことにより課せられていた構造上の制約をなくすことが
できる。また 請求項2に係る発明によれば、励起ガス
用容器を筒体状に成形することから耐圧性が高くなり、
その分、その厚さを薄くして励起光の吸収を抑制し、結
果的に、光照射効率を高めることができる。更には、励
起ガス用容器の内壁面に光透過率低下の原因となる不要
な膜が付着することを防止するために、この内壁面に沿
ってパージガスを流してパージガスのカーテンを作るよ
うにしているので、必要とするパージガス量も抑制する
ことができる。また、励起ガス用容器を筒体状に成形し
ていることから、その全周に励起光照射手段を設けるこ
とによりその励起光の強度を向上させることができる。
請求項3に係る発明によれば、パージガスはパージ用空
間を案内されて流れつつ、その流通孔から励起用空間に
少しずつ流れ込んで仕切筒の内壁面に沿ってパージガス
が流れることから、励起ガス用容器の内壁面は勿論のこ
と、仕切筒の内壁面にも不要な膜が付着するのを防止す
ることができる。請求項4に係る発明によれば、パージ
ガスをパージ用空間より励起用空間側へ確実に流すこと
ができる。請求項5に係る発明によれば、励起ガス用容
器を通過してくる励起光の光量を光量センサ部で検出し
て光量制御部で励起光照射手段の出力を制御しているの
で、励起ガスの励起エネルギーを一定に維持することが
できる。請求項6に係る発明によれば、特に、励起ガス
用容器内をクリーニングする等の必要時には、開閉弁を
閉じて励起ガス用容器と処理容器との間を遮断し、そし
て、励起ガス用容器内に流したクリーニングガスを迂回
流路に流通させることにより処理容器を迂回させるよう
にすれば、励起ガス用容器内を単独でクリーニングする
ことができる。請求項7に係る発明によれば、処理容器
内へ導入された励起ガスは末広がり状の天井板に沿って
拡散しつつ流れるので、被処理体の面内方向に亘って略
均一に励起ガスを分布させることができる。請求項8に
係る発明によれば、載置台を昇降させることにより励起
ガスの濃度分布が最適な高さ位置に被処理体を設置する
ことができる。
【図面の簡単な説明】
【図1】本発明に係る処理装置を示す断面構成図であ
る。
【図2】処理容器の内部構造を示す一部破断斜視図であ
る。
【図3】処理容器の全体構造を示す横断面図である。
【図4】励起ガス用容器内と処理容器内のパージガス濃
度分布のシミュレーション結果を示す説明図である。
【図5】仕切筒を設けていない励起ガス用容器の構造を
示す斜視図である。
【図6】本発明の変形例を示す断面構成図である。
【図7】光CVDを行う従来の処理装置の一例を示す図
である。
【符号の説明】
20 処理装置 22 処理容器 23 励起ガス形成手段 24 天井板 26 ガス入口 36 載置台 62 励起ガス用容器 64 処理ガス導入手段 66 パージガス導入手段 68 励起光照射手段 70 仕切筒 72 励起用空間 74 パージ用空間 76 流通孔 88 容器開閉弁 92 迂回流路 116 紫外線ランプ 120 光量センサ部 122 光量制御部 UV 紫外線(励起光) W 半導体ウエハ(被処理体)
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K030 AA06 AA11 AA16 BA29 BB03 CA04 CA06 EA01 EA06 FA01 FA06 GA02 KA11 KA41 LA15 5F004 AA15 BA19 BB03 BB05 BD04 DA00 DB03 DB07 DB08 DB09 DB10 5F045 AA11 AB03 AB32 AB33 AC01 AC07 AD06 BB07 DP03 EB02 EB05 EB06 EC03 EC05 EC10 EE08 EE14 EK11 EM10 GB04

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 真空引き可能になされて内部に処理すべ
    き被処理体を収容する処理容器と、前記処理容器とは別
    個に設けられて、励起光により処理ガスを励起させて前
    記処理容器へ供給する励起ガスを形成する励起ガス形成
    手段とを備えたことを特徴とする処理装置。
  2. 【請求項2】 前記励起ガス形成手段は、前記処理容器
    に連結されて光透過性材料よりなる筒体状の励起ガス用
    容器と、この励起ガス用容器内へ処理ガスを導入する処
    理ガス導入手段と、前記励起ガス用容器の内壁面に沿っ
    てパージガスを流すためのパージガス導入手段と、前記
    励起ガス用容器の周囲に設けられて前記処理ガスに励起
    光を照射して励起ガスを形成する励起光照射手段とを備
    えたことを特徴とする請求項1記載の処理装置。
  3. 【請求項3】 前記筒体状の励起ガス用容器内には、複
    数の流通孔が形成された光透過性材料よりなる筒体状の
    仕切筒が設置されており、前記励起ガス用容器内を、主
    に処理ガスが流れる励起用空間と主にパージガスが流れ
    るパージ用空間とに同心状に仕切るように構成したこと
    を特徴とする請求項2記載の処理装置。
  4. 【請求項4】 前記パージ用空間は、前記励起用空間よ
    りも陽圧状態になされていることを特徴とする請求項3
    記載の処理装置。
  5. 【請求項5】 前記励起ガス用容器の外側に設けられて
    前記励起ガス用容器を透過してくる励起光の光量を測定
    する光量センサ部と、この光量センサ部の出力に基づい
    て前記励起光照射手段の出力を制御する光量制御部とを
    備えたことを特徴とする請求項2乃至4のいずれかに記
    載の処理装置。
  6. 【請求項6】 前記処理容器と前記励起ガス用容器との
    連結部には、両容器間を連通または遮断する容器開閉弁
    が設けられると共に、前記励起ガス用容器側からは必要
    時に前記処理容器を迂回して排気する迂回流路が延びて
    形成されることを特徴とする請求項2乃至5のいずれか
    に記載の処理装置。
  7. 【請求項7】 前記励起ガス用容器は、前記処理容器の
    上方に配置されており、前記処理容器の天井板は、ガス
    入口を中心として末広がり状に形成されていることを特
    徴とする請求項2乃至6のいずれかに記載の処理装置。
  8. 【請求項8】 前記処理容器内には、前記被処理体を載
    置するために昇降可能になされた載置台が設けられるこ
    とを特徴とする請求項7記載の処理装置。
  9. 【請求項9】 前記励起光は紫外線であり、前記処理ガ
    スは成膜用ガスであることを特徴とする請求項1乃至8
    のいずれかに記載の処理装置。
  10. 【請求項10】 励起光により処理ガスを励起させて励
    起ガスを形成し、この励起ガスを、真空引き可能になさ
    れた処理容器内へ導入して被処理体に対して所定の処理
    を施すようにしたことを特徴とする処理方法。
JP2000289499A 2000-09-22 2000-09-22 励起ガス形成装置、処理装置及び処理方法 Expired - Fee Related JP4232330B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000289499A JP4232330B2 (ja) 2000-09-22 2000-09-22 励起ガス形成装置、処理装置及び処理方法
US09/948,577 US6506253B2 (en) 2000-09-22 2001-09-10 Photo-excited gas processing apparatus for semiconductor process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000289499A JP4232330B2 (ja) 2000-09-22 2000-09-22 励起ガス形成装置、処理装置及び処理方法

Publications (2)

Publication Number Publication Date
JP2002100571A true JP2002100571A (ja) 2002-04-05
JP4232330B2 JP4232330B2 (ja) 2009-03-04

Family

ID=18772888

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000289499A Expired - Fee Related JP4232330B2 (ja) 2000-09-22 2000-09-22 励起ガス形成装置、処理装置及び処理方法

Country Status (2)

Country Link
US (1) US6506253B2 (ja)
JP (1) JP4232330B2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008504443A (ja) * 2004-06-30 2008-02-14 ワン ナン ワン 高品質化合物半導体材料を製造するための成膜方法
JP2009272595A (ja) * 2007-07-19 2009-11-19 Asm Japan Kk 半導体基板をキュアリングするために紫外線照射を管理する方法
JP2010505265A (ja) * 2006-09-28 2010-02-18 ラム リサーチ コーポレーション フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計
JP2017143186A (ja) * 2016-02-10 2017-08-17 株式会社日立ハイテクノロジーズ 真空処理装置
JP2017195407A (ja) * 2017-07-06 2017-10-26 東京エレクトロン株式会社 プラズマ処理装置
WO2023085601A1 (ko) * 2021-11-10 2023-05-19 신정훈 질화갈륨 단결정 성장을 위한 하이드라이드 기상 증착 장비

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4553471B2 (ja) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 処理装置及び処理システム
TWI251506B (en) * 2000-11-01 2006-03-21 Shinetsu Eng Co Ltd Excimer UV photo reactor
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
DE10328660B3 (de) * 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
US7052546B1 (en) * 2003-08-28 2006-05-30 Cape Simulations, Inc. High-purity crystal growth
DE102005015274B4 (de) * 2005-03-31 2012-02-23 Xtreme Technologies Gmbh Strahlungsquelle zur Erzeugung kurzwelliger Strahlung
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080113108A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for control of electromagnetic radiation in pecvd discharge processes
US7851377B2 (en) * 2007-01-11 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition process
US20080276860A1 (en) * 2007-05-10 2008-11-13 Burrows Brian H Cross flow apparatus and method for hydride vapor phase deposition
US20080289575A1 (en) * 2007-05-24 2008-11-27 Burrows Brian H Methods and apparatus for depositing a group iii-v film using a hydride vapor phase epitaxy process
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011029598A (ja) * 2009-06-30 2011-02-10 Hitachi Kokusai Electric Inc 基板処理方法及び基板処理装置
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR101853802B1 (ko) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130146225A1 (en) * 2011-12-08 2013-06-13 Mks Instruments, Inc. Gas injector apparatus for plasma applicator
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR101777761B1 (ko) * 2013-10-21 2017-09-13 에이피시스템 주식회사 열처리 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN106816393A (zh) * 2015-11-27 2017-06-09 中微半导体设备(上海)有限公司 基片处理方法及设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP2022064042A (ja) * 2020-10-13 2022-04-25 株式会社Kelk 基板処理装置
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4511788A (en) * 1983-02-09 1985-04-16 Ushio Denki Kabushiki Kaisha Light-radiant heating furnace
JPS61183921A (ja) 1985-02-08 1986-08-16 Sumitomo Electric Ind Ltd レ−ザまたは光による半導体、金属の加工装置
US4699689A (en) * 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
JPS62104438U (ja) * 1985-12-23 1987-07-03
JPS62190833A (ja) * 1986-02-18 1987-08-21 Matsushita Electric Ind Co Ltd 光照射気相成長装置
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
JPH03277774A (ja) * 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
JPH04163911A (ja) * 1990-10-29 1992-06-09 Fujitsu Ltd 光cvd装置
US5429498A (en) * 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
JP3153666B2 (ja) 1993-01-14 2001-04-09 シャープ株式会社 気相成長装置およびその気相成長方法
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
TW331652B (en) * 1995-06-16 1998-05-11 Ebara Corp Thin film vapor deposition apparatus
KR0167827B1 (ko) * 1996-02-06 1999-01-15 이종훈 광학창 교체장치가 구비된 광화학기상증착장치 및 광학창 교체방법
WO1997031389A1 (fr) * 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
US5803975A (en) * 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6029602A (en) * 1997-04-22 2000-02-29 Applied Materials, Inc. Apparatus and method for efficient and compact remote microwave plasma generation
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008504443A (ja) * 2004-06-30 2008-02-14 ワン ナン ワン 高品質化合物半導体材料を製造するための成膜方法
KR101201589B1 (ko) 2004-06-30 2012-11-14 나노간 리미티드 고성능 화합물 반도체 물질을 제조하기 위한 증착기술
JP2010505265A (ja) * 2006-09-28 2010-02-18 ラム リサーチ コーポレーション フォトレジスト剥離および金属エッチング後パッシベーション用の高チャンバ温度プロセスおよびチャンバ設計
JP2009272595A (ja) * 2007-07-19 2009-11-19 Asm Japan Kk 半導体基板をキュアリングするために紫外線照射を管理する方法
JP2017143186A (ja) * 2016-02-10 2017-08-17 株式会社日立ハイテクノロジーズ 真空処理装置
JP2017195407A (ja) * 2017-07-06 2017-10-26 東京エレクトロン株式会社 プラズマ処理装置
WO2023085601A1 (ko) * 2021-11-10 2023-05-19 신정훈 질화갈륨 단결정 성장을 위한 하이드라이드 기상 증착 장비

Also Published As

Publication number Publication date
US20020035962A1 (en) 2002-03-28
US6506253B2 (en) 2003-01-14
JP4232330B2 (ja) 2009-03-04

Similar Documents

Publication Publication Date Title
JP2002100571A (ja) 処理装置及び処理方法
TWI820036B (zh) 與高選擇性氧化物移除及高溫汙染物移除整合的磊晶系統
TWI687966B (zh) 處理基板的方法及真空處理系統與設備
US10453735B2 (en) Substrate processing apparatus, reaction tube, semiconductor device manufacturing method, and recording medium
US5364667A (en) Photo-assisted chemical vapor deposition method
JP4026529B2 (ja) シャワーヘッド構造及び処理装置
JP4191137B2 (ja) 基板処理装置のクリーニング方法
US9435026B2 (en) Film deposition apparatus
JP5077018B2 (ja) 熱処理装置
KR20000028954A (ko) 반도체 처리 시스템의 매엽식 열처리 장치
JPH02138473A (ja) 縦型熱処理装置
JP2006121073A (ja) 終点検出器及び粒子モニタ
JP6478847B2 (ja) 基板処理装置
KR20010014782A (ko) 반도체 처리 시스템의 매엽식 처리 장치
TW201903198A (zh) 處理基板的裝置
JP2007146252A (ja) 熱処理方法、熱処理装置及び記憶媒体
JP2004356624A (ja) 載置台構造及び熱処理装置
US20020046810A1 (en) Processing apparatus
WO2005015620A1 (ja) 熱処理装置
JP5303984B2 (ja) 成膜装置及び成膜方法
JP2012175055A (ja) 原子層堆積装置
TWI807192B (zh) 氣體導入構造、熱處理裝置及氣體供給方法
JP2002155366A (ja) 枚葉式熱処理方法および枚葉式熱処理装置
JP3073728B2 (ja) 枚葉式の熱処理装置
JP2014132674A (ja) 支持体構造及び処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070619

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080909

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081023

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081118

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081201

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111219

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141219

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees