JP2009272595A - 半導体基板をキュアリングするために紫外線照射を管理する方法 - Google Patents

半導体基板をキュアリングするために紫外線照射を管理する方法 Download PDF

Info

Publication number
JP2009272595A
JP2009272595A JP2008178594A JP2008178594A JP2009272595A JP 2009272595 A JP2009272595 A JP 2009272595A JP 2008178594 A JP2008178594 A JP 2008178594A JP 2008178594 A JP2008178594 A JP 2008178594A JP 2009272595 A JP2009272595 A JP 2009272595A
Authority
JP
Japan
Prior art keywords
window glass
illuminance
transmission window
cleaning
determining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008178594A
Other languages
English (en)
Other versions
JP4971262B2 (ja
Inventor
Kiyohiro Matsushita
清広 松下
Kenichi Kagami
健一 加々美
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2009272595A publication Critical patent/JP2009272595A/ja
Application granted granted Critical
Publication of JP4971262B2 publication Critical patent/JP4971262B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】半導体基板上に形成された薄膜の紫外線による安定したキュアリングを実行するための方法を与える。
【解決手段】半導体基板をキュアリングするために紫外線照射を管理する方法は、チャンバ内に配置された半導体基板をキュアリングするために、チャンバ内に設けられた透過窓ガラスに紫外線を通過させる工程と、透過窓ガラスの上流側の照度及び透過窓ガラスの下流側の照度をモニターする工程と、透過窓ガラスをクリーニングするタイミング及び/または間隔、透過窓ガラスを交換するタイミング、紫外線ランプを交換するタイミング、及び/またはモニターした照度に基づき紫外線の出力を決定する工程を含む。
【選択図】図1

Description

本発明は、概して、半導体基板をキュアリングするための紫外線照射を制御する方法、及び、紫外線照射制御方法を使って半導体基板を処理する方法に関する。
従来、紫外線によりさまざまな被処理体を改変するため、及び光化学的反応により物質を製造するために、紫外線処理装置が使用されてきた。デバイスの高集積化のトレンドにより、微細配線構造及び多層配線構造がもたらされた。消費電力を削減しながらデバイス速度を増加させるために、層間容量を減少させる必要がある。層間容量を減少させるために、低誘電率材料が使用されている。しかし、誘電率が低下するに従い、使用する低誘電率材料の機械的強度(弾性率またはEMで示す)が低下し、化学的機械的研磨、配線結合及び実装などの後工程で受けるストレスに耐えることが困難となる。この問題を解決するひとつの方法は、紫外線照射により低誘電率材料をキュアリングすることである。それにより、機械的強度を増加させることができる(例えば、米国特許第6759098号及び米国特許第6296909号参照)。紫外線による照射の際、低誘電率材料は収縮しかつ硬化して、機械的強度(EM)が50から200%増加する。
近年の高集積デバイスに課されているのは、熱CVDまたはPECVDによる熱またはプラズマのダメージ若しくは欠陥が無い、薄膜形成方法である。この要求を満たすアプローチとして、光化学反応に関連する光CVDが研究されている。
被処理体または反応空間が任意の光CVD法の下で、光エネルギーにより照射される場合、紫外線ランプは反応空間から分離されなければならない。その理由は、(1)反応空間内の圧力及び雰囲気は制御されなければならず、(2)紫外線ランプは生成されるガスにより汚れ、(3)生成されるガスは安全に排気されなければならないためである。光エネルギーを透過する人工石英から成る光透過型の窓ガラスが、反応空間を2つに分けるパーティションを構成するよう使用される(多くの種類のガラスが使用可能であるが、人工石英は、比較的安価でかつ真空雰囲気中で紫外線まで広範囲のスペクトルのさまざまな光を透過することができるため、好ましい)。
米国特許第6759098号公報
しかし、透過窓の材料に応じて、光エネルギーを伝搬する透過光が紫外線の場合には、透過窓の上に蓄積した堆積物のために、その透過率が低下する傾向がある。したがって、大量のアウトガス(照射膜から生成される分解ガス)が発生するキュアリング処理においてクリーニングが必要となる。
本発明のひとつの態様において、適切なサイクルでクリーニングを実行するために、一定のまたは特定のタイミングで透過率がモニターされる。
本発明のひとつの態様において、紫外線照射装置は、一定のまたは特定のタイミングで照度をモニターするように、紫外線ソースのすぐ近く及び透過窓ガラスの下流側で、紫外線の照度を計測するための紫外線照度計を具備する。
透過率の低下の度合いは、使用する紫外線の波長によって変化するため、本発明のひとつの実施形態において、透過率は、効果的なまたは特定の方法で適用可能な紫外線の波長を測定することができる紫外線照度計を使って測定される。
測定の精度は、紫外線照度計の定期的なキャリブレーションを通じて保証される。
本発明のひとつの態様において、透過窓ガラスの汚染または劣化により生じる照度の低下率を測定するべく、紫外線ソースの照度は、透過窓ガラスの下流側で測定された照度と比較される。
本発明のひとつの態様において、ランプベースの光源が照度情報のフィードバックを受信し、かつ、一定レベルに照度を維持するべく照度の低下を補償するよう出力を増加するメカニズムが与えられる。
窓ガラスがクリーニングされると、照度は、キュアリングの開始時点で測定したのとほぼ同じレベルまで回復する。本発明のひとつの態様において、クリーニング後に照度の変化がほとんど観測されないポイントが、エンドポイントとして定義され、クリーニングはこのエンドポイントに達したときに終了する。
本発明のひとつの態様において、照度は、キュアリング中にモニターされており、クリーニング時間及び頻度は、モニターした照度があるレベル(キュアリングされた膜の品質に影響がないレベル)に達するまでクリーニングが実行されないような方法で制御される。
本発明のひとつの態様において、トリガーとして特定の照度値を使用することにより、クリーニング時間及び頻度を自動的に制御することも可能である。
透過窓ガラスは、紫外線の一部を吸収し、かつ、ヒータ台により生成される熱からのダメージにさらされるため、その透過率は時間とともに低下する。結果として、キュアリング対象物に到達する紫外線の照度は低下する。本発明のひとつの態様において、人工石英にさらされるダメージの状態を把握し、交換が必要な時点を決定するために、透過率がモニターされる。例えば、交換のタイミングは、透過窓ガラスの劣化により生じる透過率の低下をモニターし、かつ、透過率が特定の値またはそれ以下に低下したとき、当該透過窓ガラスを交換することにより制御することができる。
本発明及び従来技術に対する利点を要約する目的で、本発明のある目的及び利点がここで開示される。もちろん、本発明の特定の実施形態に従って、これらのすべての目的または利点が必ずしも達成されるものではない。よって、ここに教示または示唆されるような他の目的または利点を必ずしも達成することなく、ここに教示されるような利点を達成しまたは最適化するような方法で本発明を実施または実行することが可能であることは当業者の知るところである。
本発明の他の態様、特徴及び利点は、以下の詳細な説明から明らかとなる。
以下、本発明の好適実施形態を詳細に説明する。しかし、本発明は、この好適実施形態に限定されるものではない。
本発明のひとつの実施形態において、半導体基板をキュアリングするために紫外線照射を管理する方法は、(a)チャンバ内に配置された半導体基板をキュアリングするべく、チャンバ内に設けられた透過窓ガラスに紫外線を通過させる工程と、(b)該透過窓ガラスの上流側の照度及び該透過窓ガラスの下流側の照度をモニターする工程と、(c)該透過窓ガラスのクリーニングのタイミング及び/または間隔、該透過窓ガラスを交換するタイミング、紫外線ランプを交換するタイミング、及び/またはモニターした照度に基づき紫外線の出力を決定する工程と、を備える。
本発明のひとつの実施形態において、上記モニターする工程は、透過窓ガラスの上流側に設けられた照度計により透過窓ガラスの上流側の照度、及び、透過窓ガラスの下流側に設けられた照度計により透過窓ガラスの下流側の照度をそれぞれ計測し、それによって紫外線の透過率を得る工程を含む。
本発明の上記いずれかに記載の実施形態において、紫外線を通過させる工程及びモニターする工程は、半導体基板のキュアリング開始時点、半導体基板のキュアリング終了時点、透過窓ガラスのクリーニング開始時点、及び透過窓ガラスのクリーニング終了時点から成る集合から選択される少なくともひとつの時点に実行される。
本発明の上記いずれかに記載の実施形態において、上記決定する工程は、(A)透過窓ガラスの下流側の照度を第1基準値と比較する工程と、(B)透過窓ガラスの上流側の照度を第2基準値と比較する工程と、(C)透過窓ガラスの下流側の照度が第1基準値と等しいかまたは第1基準値より低く、かつ、透過窓ガラスの上流側の照度が第2基準値と等しくないかまたは第2基準値より低くなければ、透過窓ガラスのクリーニングを開始するよう決定する工程と、(D)透過窓ガラスの上流側の照度が第2基準値と等しいかまたは第2基準値より低ければ、紫外線ランプを交換するよう決定する工程を含む。
クリーニングが開始されたとき、上記紫外線を通過させる工程及びモニターする工程がクリーニング中に繰り返されるところの本発明の実施形態において、上記決定する工程は、さらに、(E)モニターされた透過窓ガラスの下流側の照度を第3基準値と比較する工程と、(F)透過窓ガラスの下流側の照度が第3基準値と等しいかまたは第3基準値より高ければ、透過窓ガラスのクリーニングを終了するよう決定する工程を含む。
本発明の上記実施形態において、透過窓ガラスの下流側の照度が第3基準値と等しくないかまたは第3基準値より高くなければ、上記決定する工程は、さらに、(G)透過窓ガラスの下流側で連続してモニターされた照度を比較する工程と、(H)透過窓ガラスの下流側で連続してモニターされた照度の間の差が標準値と等しいかまたは標準値より小さければ透過窓ガラスのクリーニングを終了するよう決定する工程を含む。
本発明の上記実施形態において、上記決定する工程はさらに、(I)クリーニング終了時の透過窓ガラスの下流側の照度と、第3基準値との間の差を補償するべく、紫外線の出力を増加させるよう決定する工程を含む。
本発明の上記実施形態において、決定する工程はさらに、(J)紫外線ランプの出力増加時の透過窓ガラスの下流側の照度を第4基準値と比較する工程と、(K)紫外線ランプの出力増加時の透過窓ガラスの下流側の照度が標準値と等しいかまたは標準値より低ければ、透過窓ガラスを交換するよう決定する工程を含む。
本発明の上記実施形態のいずれかにおいて、上記紫外線を通過させる工程及びモニターする工程は、チャンバ内に別の半導体基板が搬入された後に繰り返される。
本発明の上記実施形態のいずれかにおいて、上記決定する工程は、モニターする工程の各々において透過窓ガラスの下流側でモニターされた照度を比較し、それにより透過窓ガラスを交換するタイミングを決定する工程を含む。
本発明の上記実施形態のいずれかにおいて、上記決定する工程は、モニターする工程の各々においてモニターされた照度を比較し、それにより透過窓ガラスをクリーニングするタイミングを決定する工程を含む。
本発明の上記実施形態のいずれかにおいて、上記紫外線を通過させる工程及びモニターする工程は、クリーニング中に間欠的にまたは連続的に実行され、それによりクリーニングのエンドポイントが決定される。
本発明の上記実施形態のいずれかにおいて、上記決定する工程は、紫外線の出力を決定する工程を含み、当該方法は、さらに、透過窓ガラスを通過する紫外線の照度を維持するよう、上記紫外線の決定された出力に基づいて紫外線の出力を増加させる工程を含む。
本発明の上記実施形態のいずれかにおいて、上記決定する工程は、クリーニングを開始する照度の閾値を設定し、透過窓ガラスの下流側でモニターされた照度をその閾値と比較することにより、クリーニングのタイミングを決定する工程を含む。
本発明の上記実施形態のいずれかにおいて、上記決定する工程は、透過窓ガラスを交換する照度の閾値を設定し、透過窓ガラスの下流側でモニターされた照度をその閾値と比較することにより、透過窓ガラスを交換するタイミングを決定する工程を含む。
本発明の上記実施形態のいずれかにおいて、紫外線の波長は、170nmから300nmである。
本発明の他の実施形態において、紫外線により半導体基板をキュアリングする方法は、(i)チャンバ内に設けられた透過窓ガラスに紫外線を通過させることによりチャンバ内に配置された半導体基板をキュアリングする工程と、(ii)透過窓ガラスをクリーニングする工程と、(iii)上記したいずれかの方法を実行し、それにより透過窓ガラスのクリーニングのタイミング及び/または間隔、透過窓ガラスを交換するタイミング、紫外線ランプを交換するタイミング、及び/またはモニターした透過率に基づき紫外線の出力を決定する工程と、(iv)工程(iii)に従って、工程(ii)のタイミング及び/または間隔を制御し、透過窓ガラスを交換し、紫外線ランプを交換し、及び/または工程(i)の紫外線の出力を増加させる工程を備える。
この詳細な説明において、“照度”は、吸光度若しくは強度、またはある場合において透過率と読み替えることができる。また、この詳細な説明中で、条件及び/または構造が特定されていない場合には、当業者は、以下の記載を参照して、経験的にその条件及び/または構造を容易に導くことが可能である。さらに、説明中で、実施形態に適用される数値は、他の実施形態においても±50%だけ修正して適応可能であり、実施形態で適用される範囲は終点を含んでも含まなくてもよい。
以下、図面及び実施例を参照して、本発明を詳細に説明する。しかし、本発明は、図面及び実施例に限定されない。
本発明を実施するための最良の形態を、図1及び図2を参照して以下で説明する。
図1に示すように、本発明で使用される紫外線照射装置は、紫外線ランプ7が取り付けられた紫外線ユニット1、照射窓2、リアクタボディ3、該リアクタボディの内壁に設けられ、かつ、ガス導入管5に結合されたガス吸気リング(図示せず)、ヒータ台4、排気口6に結合された真空ポンプ(図示せず)、及び排気口内部に設置された圧力制御バルブ(図示せず)を含む。図1に示す装置は、ロードロックチャンバ12に結合され、該ロードロックチャンバ内部の基板搬入/搬出ロボット11が基板を搬入/搬出するのに使用される。装置はこの図に限定されず、紫外線を照射することが可能なあらゆる装置を使用することができる。
例えば、ここに参考文献として組み込む、本発明の出願人の米国特許出願第11/690624号(2007年3月22日出願)及び米国特許出願第11/684524号(2007年3月9日出願)に開示されている紫外線照射装置などが、本発明の実施形態において使用可能である。
図1の装置をさらに詳細に説明する。リアクタボディ3は、真空から大気圧付近の範囲にわたり内部圧力を制御することができるよう設計されており、紫外線ユニット1がその上部に設置されている。
紫外線ランプ7は、紫外線を連続的またはパルス状に放出することができ、ヒータ台4は紫外線ランプ7に対向しかつ平行となるように設置されている。一方、照射窓ガラス2は紫外線ランプ7とヒータ台4との間に、該紫外線ランプ7及びヒータ台4と対向しかつ平行となるように設置されている。均一な紫外線照射を達成するべく照射窓2が使用される。照射窓2の材料は、紫外線透過中に外気からリアクタを分離することができるものであればよく、人工石英のような任意の材料を使用することができる。ひとつの実施形態において、複数の管状の紫外線ランプ7が紫外線照射ユニットの内部で平行に配置されている。図1に示すように、これらのランプは均一な照度を達成するよう最適に配置され、各紫外線ランプにより放出された紫外線を薄膜上に適宜反射するよう誘導するべく反射板8が設けられている。この反射板8は、均一な照度を達成するよう、その角度が調節可能なように設計されている。当該装置は、照射窓2が設けられるフランジ(図示せず)により、その内部の圧力が真空から大気圧付近までの範囲にわたって制御される基板処理部分と、紫外線を連続的にまたはパルス状に放出するための紫外線ランプが内部に設置された紫外線照射部とに分離されている。紫外線ランプ7は、簡単に除去及び交換することが可能である。ガスはフランジを通じて導入され、均一な処理雰囲気を達成するよう複数のガス吸気口(図示せず)が対称的な配置で設けられている。
特定の紫外線照射処理は以下のとおりである。Ar、CO、CO、C、CH、H、He、Kr、Ne、N、O、Xe、アルコールガス及び有機ガスから選択されるガスを使って、チャンバ内の圧力を、約0.1Torrから大気圧付近(1Torr、10Torr、50Torr、100Torr、1000Torr及びこれらの任意の2数間の値を含む)の圧力とし、ゲートバルブ9を通じて基板搬送口から基板を搬入し、約0℃から約650℃(10℃、50℃、100℃、200℃、300℃、400℃、500℃、600℃及びこれらの任意の2数間の値を含み、好適には300℃から450℃)の温度に設定されたヒータ台4上に被処理体である半導体基板を配置し、紫外線ランプ7から適当な距離(1cmから100cm)を維持しながら、波長が約100nmから約400nm(150nm、200nm、300nm、350nm及びこれらの任意の2数間の値を含み、好適には約200nm)で、出力が約1mW/cmから約1000mW/cm(10mW/cm、50mW/cm、100mW/cm、200mW/cm、500mW/cm、800mW/cm及びこれらの任意の2数間の値を含む)で、周波数が約1Hzから約1000Hz(10Hz、100Hz、200Hz、500Hz及びこれらの任意の2数間の値を含む)の紫外線を、連続的またはパルス状で、半導体基板上に形成された薄膜に対して照射する。照射時間は約1秒から約60分(5秒、10秒、20秒、50秒、100秒、200秒、500秒、1000秒、2000秒及びこれらの任意の2数間の値を含む)である。当該チャンバは排気口を通じて排気される。
ひとつの実施形態において、この半導体製造装置は上記した一連の処理工程を自動シーケンスにより実行する。ここで、処理工程は、ガスを導入する工程と、紫外線を照射する工程と、紫外線照射を停止する工程と、ガスを停止する工程を含む。紫外線の強度(照度)は1から100%の範囲で変更可能であり、適用可能なレシピに従って制御される。
図1に示す装置はまた、一定のレベルに紫外線の照度を維持することにより紫外線装置の安定動作をもたらすべく、紫外線ランプへの入力パワーを調節するためのメカニズムを備える。特定的に、入力パワーはランプまたはガラスの劣化により生じる透過窓ガラスの上流側での照度の低下を補償するべく増加される。この装置は、透過窓ガラスの前後での照度を測定するための、内壁に取り付けられた紫外線照度計21a,21bを備え、それにより紫外線照度を測定することができる。透過窓ガラス2の上流側に設けられた照度計21aは、紫外線ランプの劣化を直接検出するべく、ランプの照度をモニターする。もう一つの照度計21bは、上部照度計による測定値との差を計算するべく、透過窓ガラス2の下流側の照度を測定する。その差は、ガラスの劣化により生じる透過率の低下量を決定するのに使用される。紫外線照度計は測定データを照度モニターユニット22を通じて紫外線装置のパワー制御システム23へフィードバックし、キュアリング対象物が配置された透過窓ガラスの下流側の照度を一定レベルに維持するのに寄与する。
紫外線照射の結果、半導体基板上の薄膜からアウトガスが生成されれば、それが、チャンバの内壁並びに、人工石英等から成る照射窓ガラス上に堆積する。照射窓上に堆積した汚染物質は紫外線を吸収し、キュアリング効率を低下させる。図2は、キュアリング前後での照射窓ガラスの紫外線透過率の変化の例を示している。図1に示す装置により使用されたキュアリング条件は以下の通りである。
<基板>
基板上の薄膜の種類:SiOC
膜厚:100nmから1000nm
成膜方法:プラズマCVD
<キュアリング条件>
紫外線ランプ出力:0.1kWから30kW
透過窓ガラスの材料:人工石英ガラス
透過窓ガラスの厚さ:20mm
基板と透過窓ガラスの間隔:1cmから10cm
紫外線ランプと透過窓ガラスの間隔:1cmから50cm
リアクタボディ内の雰囲気:N
圧力:1Torrから760Torr
キュアリング温度:200℃から600℃
キュアリング時間:30秒から1000秒
紫外線照度計:浜松ホトニクス社製C7460
図2の縦軸は、透過率を示し、特定的に、透過窓ガラスの下流側に設けられた照度計により測定される照度のパーセンテージである。ここで、100%は透過窓ガラスの上流側に設けられた照度計により測定された照度を表す。図2に示すように、紫外線キュアリング前は、透過窓ガラスの紫外線透過率は波長に依存して変化し、透過窓ガラスの紫外線透過率は波長が300nmまたはそれ以下になると低下し始める。しかし、キュアリング後は透過率がさらに低下し、波長が300nmまたはそれ以下で透過率の低下が顕著になる。特に、波長が250nmまたはそれ以下において透過率の低下は著しく、波長が200nmまたはそれ以下ではさらに著しい。これらの低下は、生成されるアウトガスが増加し、透過窓ガラスの表面が汚染されることにより生じる。汚染物質は波長が300nmまたはそれ以下の紫外線を吸収し、この傾向は、波長が250nmまたはそれ以下の紫外線に対して顕著であり、特に波長が200nmまたはそれ以下の紫外線に対してより顕著であることを示している。この結果は、波長が300nmまたはそれ以下の紫外線を使用する紫外線装置において紫外線の波長が短くなるに従い、より徹底的にクリーニングする必要があることを示唆している。図5は、図2に適用されたのと同じ条件で紫外線が照射された場合の透過窓ガラスの紫外線透過率を示している。グラフから、170nmでの透過率は約60%であることがわかる。伝達効率も考慮すれば、使用すべき紫外線の波長は、170nmから300nmであり、170nmから250nmが好ましく、170nmから200nmがより好ましいと言える。
また、ひとつの実施形態において、クリーニング開始時の透過率が設定され、キュアリング後の透過率がモニターされ、特定の透過率に達した場合にクリーニングが実行されるような方法でプログラム化されてもよい。例えば、クリーニング開始時の透過率が89%に設定されれば、200nmの紫外線を使用する場合、各キュアリングサイクルが終了するたびにクリーニングが実行されるが、波長が250nmまたはそれ以上の紫外線を使用する場合のクリーニング頻度は、キュアリングサイクルの数回に1度に低下する。しかし、図2に示す傾向は、最適なキュアリング時間が波長に依存して変化すれば、厳密に一致するものではない点に注意を要する。上記したように、クリーニングを開始すべき時点は、キュアリング後の透過率のみに基づいて決定することができる。しかし、キュアリング前後の透過率の差を計算し、その差をクリーニングの開始の指標として使用することも可能である。透過率の差を指標として使用することにより、波長ごとの透過窓ガラスの透過率の変化が勘案され、クリーニングタイミングをより正確に決定することが可能となる。
紫外線ランプの劣化は、透過窓ガラスの上流側の照度計により測定された初期照度を100%と定義し、透過窓ガラスの上流側の照度計により測定される照度をその初期値に対して連続的にモニターすることにより決定することができる。
透過窓ガラスから汚染物質を除去するためにクリーニングが実行される。ひとつの実施形態において、クリーニングガスとしてOが使用される。紫外線によりそのOからオゾンが生成され、そのオゾン反応により汚染物質が除去される。本発明のひとつの実施形態であるこの種のクリーニングでは、例えば、以下の条件が使用される。
クリーニングガス:O(0.5slmから5slm)
圧力:5Torrから760Torr
ヒータ台温度:200℃から400℃
リアクタ側壁温度:100℃から180℃
時間:15秒から1000秒
紫外線:キュアリング処理で使用されたものと同じ
クリーニング頻度:キュアリングサイクルが1回から数回の後に1度(例えば、2から5サイクルごとに1度)
チャンバの内壁に堆積した汚染物質はやがて内壁から分離して粒子となる。この汚染物質もまた上記クリーニングにより除去可能である。十分なクリーニングが実行されたか否かを決定するために、透過窓ガラスを通過する紫外線の照度が測定され、当該照度がキュアリング前に測定されたレベルまで回復したか否かがチェックされる。図3は、図2に適用されたのと同じキュアリング条件及び以下のクリーニング条件のもとでキュアリング及びクリーニングサイクルが実行された場合、透過窓ガラスの下流側の照度計により測定された照度を示している。
クリーニングガス:O(0.5slmから5slm)
圧力:5Torrから760Torr
ヒータ台温度:200℃から400℃
リアクタ側壁温度:100℃から180℃
時間:15秒から1000秒
紫外線の波長:キュアリング用に使用された紫外線と同じ波長(255nm)
図3に示すように、クリーニングにより、透過窓ガラスの透過率はほぼキュアリング前のレベルまで回復している。図3において、クリーニング開始時点の照度はキュアリング終了時点の照度より高い。これは、紫外線がキュアリングの間に膜から生成されたアウトガスにより吸収されたためであり、それによりキュアリングの終了時点において、見かけ上の照度がより低くなっている。結果として、クリーニング開始時点の照度はより高くなる。一方、クリーニング終了時点の照度がキュアリング開始時点の照度より高くなる理由は、膜が堆積されたウエハは紫外線照射前の工程ですでに加熱されており、それゆえキュアリング処理以前にすでにある程度のガスが放出されているからである。この放出ガスは見かけ上の照度の低下を生じさせる。見かけ上の照度の上記変化は、透過窓ガラス上の汚染物質の程度と直接的な関係はない。グラフは、第2クリーニングサイクル後の照度が第1クリーニングサイクル後の照度より低いことを示している。上記のような場合、透過窓ガラスの下流側の照度は、モニターした照度に基づいて、紫外線の強度を高めるよう紫外線制御器に指令する信号を出力することにより、一定レベルに維持することができる。他に、クリーニングを終了する時点を変更して、クリーニング時間を延長する方法がある。
しかし、クリーニング後に照度が十分なレベルまで回復しなければ、透過窓ガラスの劣化がひとつの可能性として考えられる。上記した方法でうまくいかなければ、透過窓ガラスを交換しなければならない。特定的に、紫外線照射装置はランプへの入力パワーを変更するメカニズムを備えており、このメカニズムによれば、照度の低下に応じた量だけランプの出力を上昇させることにより、キュアリング対象物の照度を一定レベルに維持することが可能である。例えば、ランプが最大出力パワーの80%で動作する場合、ランプまたはガラスの劣化により照射対象物の照度が低下したと仮定する。この場合、照度を調節するべく、ランプへの入力パワーは最大出力まで上昇される。しかし、照度の低下が実質的なものとなり、全出力に対応するパワーを入力しても所望の照度をもはや達成することができない場合には、ランプまたは透過窓ガラス(あるいはその両方)を交換しなければならない。概して、人工石英ガラスはある程度の紫外線を吸収することが知られており、その結果、劣化しやすい。これは、吸収された紫外線がガラスの結晶構造を破壊するためであると考えられる。便宜上、透過窓ガラスの下流側の照度計だけを使って劣化を検出することは可能であるが、透過窓ガラスの前後に配置した照度計を組み合わせて使用することは、ランプまたは透過窓ガラスが劣化したか否かを決定するのに有効な方法である。透過窓ガラスの上流側の照度計はランプの劣化を検出するためにランプの照度を直接モニターするが、透過窓ガラスの下流側の照度計は透過窓ガラスの下流側の照度を測定し、上流側の照度計による計測値との差をモニターし、透過窓ガラスの劣化により生じる透過率の低下量を示すのに使用される。また、キュアリング対象物が配置された透過窓ガラスの下流側の照度を一定レベルに維持するのに寄与するよう、紫外線装置のパワー制御システムへ測定データをフィードバックすることができるメカニズムが紫外線照度計に設けられてもよい。
上記したように、透過窓ガラスは高エネルギーの紫外線により、及び、ヒータ台から生成された熱によりダメージを受け、その結果、その透過率は時間とともに徐々に低下する。図4は、波長172nmの紫外線が以下の条件で照射された場合の透過窓ガラスの透過率が時間とともに変化する例を示している。
<照射条件>
紫外線ランプ:出力1.5W、波長172nm
透過窓ガラスの材料:人工石英ガラス
透過窓ガラスの厚さ:20mm
リアクタボディ内の雰囲気:N
圧力:5Torr
温度:400℃
図4において、透過率は、約150時間後から450時間後までの間ほぼ平坦のままであるが、その後突然低下する。この場合、透過窓ガラスを交換する適切なタイミングは約450時間経過後である。
ひとつの実施形態において、照度は連続的にモニターされるが、常時モニターする代わりに特定のタイミングでモニターしてもよい。例えば、キュアリングの開始時点またはクリーニングの終了時点の照度は、測定値が通常であるか否かを決定するためにモニターされてもよい。
他に注意すべき点は、透過窓ガラスの汚染及び劣化と同様に、紫外線照度計のプローブの汚染及び劣化もまた照度の低下を引き起こすということである。しかし、ガラスの汚染とプローブの劣化は、標準的なプローブを使って定期的にプローブをキャリブレーションすることにより区別することができる。紫外線照度計プローブの汚染も、透過窓ガラスのクリーニングに適用したのと同じ方法でクリーニング可能である。このプローブは、典型的に、人工ガラスから成る。ひとつの実施形態において、プローブは人工石英から成る窓ガラスによりリアクタから分離されている。したがって、透過窓ガラスがクリーニングされるのと同じ方法でその窓ガラスをクリーニングすることができる。
紫外線照度計は、特定の波長を選択的に測定するもの、及び、波長範囲にわたってプロファイルを測定する分光光度計に類似のものを含む。紫外線照度計の測定波長と、使用する紫外線の波長とが一致することが望ましい。例えば、波長が約172nm、254nmまたは365nmの紫外線を選択的に測定するセンサーが照度を測定するのに使用される。プロファイルの変化から劣化の度合いを決定するために、分光光度計を使って200nmから1000nmの範囲にわたってスペクトルのプロファイルを測定することも可能である。例えば、Xeエキシマ紫外線ランプ(波長λ=172nm)が使用される場合、172nmの照度計を使って照度が測定される。一方、高圧水銀ランプ(波長λ=200から400nm)が使用される場合、254nmの照度計を使用すれば十分である。
ひとつの実施形態において、紫外線照射装置は、紫外線ソースの照度を直接測定するセンサーと、透過窓ガラスを通過した紫外線の照度を測定するもうひとつのセンサーを具備している。これらのセンサーからのデータを連続的にモニターし、透過窓ガラスの下流側の照度に対して紫外線ソースの照度を比較することにより、透過窓ガラスの汚染または劣化により生じる照度の低下率を測定することができる。ひとつの実施形態において、照度の任意の低下を補償するべく出力を上昇させるために、ランプ式の紫外線ソースに照度情報がフィードバックされ、それにより、照度が一定レベルに維持される。ひとつの実施形態において、クリーニングのエンドポイントは、クリーニング時間及び頻度を制御するために照度情報に基づいて特定される。ひとつの実施形態において、透過窓ガラスの交換タイミングは、劣化により生じるガラスの透過率の低下をモニターし、透過率が特定のレベルまたはそれ以下に低下した場合にガラスを交換することにより制御される。上記により、ひとつの実施形態に係る紫外線照射装置は安定に動作することができる。
図6は、本発明のひとつの実施形態に従う紫外線照射装置を管理するフローチャートである。管理方法は、(a)チャンバ内に配置された半導体基板をキュアリングするためにチャンバ内に設けられた透過窓ガラスに紫外線を通過させる工程と、(b)透過窓ガラスの上流側の照度及び透過窓ガラスの下流側の照度をモニターする工程と、(c)透過窓ガラスのクリーニングのタイミング及び/または間隔、透過窓ガラスを交換するタイミング、紫外線ランプを交換するタイミング、及び/またはモニターした照度に基づき紫外線の出力を決定する工程を含む。上記において、紫外線を通過させる工程及びモニターする工程は、半導体基板のキュアリングの開始時点、半導体基板のキュアリングの終了時点、透過窓ガラスのクリーニングの開始時点、及び透過窓ガラスのクリーニングの終了時点から成る集合の少なくともひとつの時点において実行されるか、または、連続的に若しくはスケジュールされたタイミングで間欠的に実行されてもよい。
モニターするタイミングはその目的に応じて選択される。例えば、クリーニングの終了時点を決定する必要があれば、モニターはクリーニング中に効果的に実行される。クリーニングの頻度を決定する必要があれば、モニターはキュアリングの終了時点で効果的に実行される。透過窓ガラスを交換するタイミングを決定する必要があれば、モニターはクリーニングの終了時点またはキュアリングの開始時点で効果的に実行される。しかし、図3に示すように、クリーニングの終了時点での照度及びキュアリングの開始時点での照度はわずかに異なる。よって、モニターのタイミングに応じて、いずれの動作を選択すべきかを決定するために使用する基準値が異なる。また、時間とともに透過窓ガラスの劣化を適切に評価することができるように、別の搬入サイクルにおいて同じタイミングでモニターを実行することも有効である。キュアリングまたはクリーニングをしないときに、照度または透過率をチェックするために特定的に紫外線が照射されてもよい。他に、キュアリングまたはクリーニングに使用される紫外線が照度または透過率をチェックするために使用されてもよい。
図6を参照して、ステップS1において、紫外線照射管理処理が開始され、紫外線は透過窓ガラスを通過し、透過窓ガラスの上流側及び下流側の照度がモニターされる。ステップS2において、透過窓ガラスの下流側の照度が第1基準値R1と比較され、透過窓ガラスの下流側の照度が第1基準値R1と等しいかまたはそれ以下であれば、ステップS3が開始される。透過窓ガラスの下流側の照度が第1基準値R1と等しくなくまたはそれ以下でもなければ、透過窓ガラスの透過率が良好の状態であることを意味し、ステップS5において(クリーニングせずにキュアリングのために次の半導体基板をチャンバに搬入する)次のサイクルが開始される。第1基準値R1は予め決定することができる。ステップS3において、透過窓ガラスの上流側の照度は第2基準値R2と比較され、透過窓ガラスの上流側の照度が第2基準値R2と等しくないかまたはそれ以下でなければ、ステップS6で透過窓ガラスのクリーニングが開始される。透過窓ガラスの上流側の照度が第2基準値R2と等しいかまたはそれ以下であれば、透過窓ガラスの下流側の照度の減少が、透過窓ガラスの表面の堆積物によるものではなく、紫外線ランプの劣化によるものであることを意味しており、したがって、紫外線ランプがステップS4で交換される。第2基準値R2は、上記を鑑み予め選択される。
クリーニングがステップS6で開始された後、紫外線を通過させる工程及びモニターする工程がクリーニング中に繰り返され、ステップS7において、モニターされた透過窓ガラスの下流側の照度が第3基準値R3と比較される。透過窓ガラスの下流側の照度が第3基準値R3と等しいかまたはそれ以上であれば、透過窓ガラスが十分に清掃されたことを意味し、したがって透過窓ガラスのクリーニングはステップS8で終了する。その後、(キュアリングのために次の半導体基板をチャンバ内に搬入する)次のサイクルがステップS9で開始される。透過窓ガラスの下流側の照度が第3基準値R3と等しくないかまたはそれ以上でなければ、透過窓ガラスの下流側で連続的にモニターされた照度はステップS10において比較される。透過窓ガラスの下流側で連続的にモニターされた照度の差が標準値と等しいかまたはそれ以下であれば、透過窓ガラスは清掃されたがいまだ良好な透過率を回復していないことを意味し、したがって、透過窓ガラスのクリーニングはステップS11で終了する。透過窓ガラスの下流側で連続的にモニターされた照度の差が標準値より大きければ、透過窓ガラスはさらにクリーニング可能であるから、クリーニングはステップS6において続行される。第3基準値R3及び標準値は上記に鑑み予め選択される。
透過窓ガラスがステップS11でさらにクリーニングされない場合、クリーニングの終了時点での透過窓ガラスの下流側の照度と第3基準値R3との差を補償するべく、ステップS12において、紫外線の出力が増加される。ステップS13において、紫外線ランプの出力増加と同時に透過窓ガラスの下流側の照度が第4基準値R4と比較される。紫外線ランプの出力増加時の透過窓ガラスの下流側の照度が標準値と等しいかまたはそれ以下であれば、クリーニング及び紫外線ランプの出力増加では補償できない程度にまで透過窓ガラスが劣化していることを意味しており、したがってステップS14において透過窓ガラスが交換される。紫外線ランプの出力増加と同時に透過窓ガラスの下流側の照度が標準値と等しくないかまたはそれ以下でなければ、(キュアリングのために次の半導体基板をチャンバ内に搬入する)次のサイクルがステップS15において開始される。第4の基準値R4は上記を鑑み予め選択される。
上記において、紫外線を通過させる工程及びモニターする工程は、別の半導体基板がチャンバ内に搬入された後に繰り返され、少なくとも一つの基準値が先のモニター結果に基づいて選択可能である。上記において、照度は紫外線照射を管理するために使用される。しかし、同じ目的で、透過率または吸光度が使用されてもよい。
本発明の思想から離れることなくさまざまな修正及び変更が可能であることは当業者の知るところである。したがって、本発明の形式は例示に過ぎず、本発明の態様を制限することを意図したものではない。
図1は、本発明のひとつの実施形態で使用可能な紫外線照射装置の概略図である。 図2は、本発明のひとつの実施形態に従う、キュアリングの前後における、透過窓ガラスを通過する紫外線の波長と、紫外線透過窓ガラスの透過率との関係を示すグラフである。 図3は、本発明のひとつの実施形態に従う、キュアリング及びクリーニングの後に、透過窓ガラスの下流側で測定された紫外線(波長=254nm)の強度の変化を示すグラフである。 図4は、本発明のひとつの実施形態に従う、172nmの波長の紫外線を使って紫外線透過ガラスの透過率(初期透過率100%)の時間変化を示すグラフである。 図5は、本発明のひとつの実施形態に従う、石英ガラスを通過する紫外線の波長と、人工石英ガラスの透過率との関係を示すグラフである。 図6は、本発明のひとつの実施形態に従う、紫外線照射管理のフローチャートである。

Claims (17)

  1. 半導体基板をキュアリングするために紫外線照射を管理する方法であって、
    チャンバ内に配置された半導体基板をキュアリングするために、前記チャンバ内に設けられた透過窓ガラスに紫外線を通過させる工程と、
    前記透過窓ガラスの上流側の照度及び前記透過窓ガラスの下流側の照度をモニターする工程と、
    前記透過窓ガラスのクリーニングのタイミング及び/または間隔、前記透過窓ガラスを交換するタイミング、紫外線ランプを交換するタイミング、及び/またはモニターした前記照度に基づき紫外線の出力を決定する工程と、
    を備えたことを特徴とする方法。
  2. 前記モニターする工程は、前記透過窓ガラスの上流側に設けられた照度計により前記透過窓ガラスの上流側の照度、及び、前記透過窓ガラスの下流側に設けられた照度計により前記透過窓ガラスの下流側の照度を測定し、それにより紫外線の透過率を得る工程を含む、
    ことを特徴とする請求項1記載の方法。
  3. 前記紫外線を通過させる工程及び前記モニターする工程は、前記半導体基板のキュアリングの開始時点、前記半導体基板のキュアリングの終了時点、前記透過窓ガラスのクリーニング開始時点、及び前記透過窓ガラスのクリーニング終了時点から成る集合から選択される少なくともひとつの時点において実行される、
    ことを特徴とする請求項1記載の方法。
  4. 前記決定する工程は、
    前記透過窓ガラスの下流側の照度を第1基準値と比較する工程と、
    前記透過窓ガラスの上流側の照度を第2基準値と比較する工程と、
    前記透過窓ガラスの下流側の照度が前記第1基準値と等しいかまたはそれ以下であり、かつ、前記透過窓ガラスの上流側の照度が前記第2基準値と等しくないかまたはそれ以下でなければ、前記透過窓ガラスのクリーニングを開始することを決定する工程と、
    前記透過窓ガラスの上流側の照度が前記第2基準値と等しいかまたはそれ以下であれば前記紫外線ランプを交換することを決定する工程と、
    を含むことを特徴とする請求項3記載の方法。
  5. クリーニングが開始され、クリーニング中に前記紫外線を通過させる工程及び前記モニターする工程が繰り返される場合、前記決定する工程はさらに、
    前記透過窓ガラスの下流側でモニターした照度を第3基準値と比較する工程と、
    前記透過窓ガラスの下流側の照度が前記第3基準値と等しいかまたはそれ以上であれば、前記透過窓ガラスのクリーニングの終了を決定する工程と、
    を含むことを特徴とする請求項4記載の方法。
  6. 前記透過窓ガラスの下流側の照度が第3基準値と等しくないかまたはそれ以上でない場合、前記決定する工程はさらに、
    前記透過窓ガラスの下流側で連続的にモニターした照度を比較する工程と、
    前記透過窓ガラスの下流側で連続的にモニターした照度間の差が標準値と等しいかまたはそれ以下であれば、前記透過窓ガラスのクリーニングの終了を決定する工程と、
    を含むことを特徴とする請求項5記載の方法。
  7. 前記決定する工程はさらに、
    クリーニングの終了時点での前記透過窓ガラスの下流側の照度と前記第3基準値との差を補償するべく、前記紫外線ランプの出力を増加するよう決定する工程を含む、
    ことを特徴とする請求項6記載の方法。
  8. 前記決定する工程は、さらに、
    前記紫外線ランプの出力増加と同時に前記透過窓ガラスの下流側でモニターした照度を第4基準値と比較する工程と、
    前記紫外線ランプの出力増加と同時に前記透過窓ガラスの下流側でモニターした照度が標準値と等しいかまたはそれ以下であれば、前記透過窓ガラスを交換するよう決定する工程と、
    を含むことを特徴とする請求項7記載の方法。
  9. 異なる半導体基板がチャンバ内に搬入された後に、前記紫外線を通過させる工程及び前記モニターする工程が繰り返される、
    ことを特徴とする請求項3記載の方法。
  10. 前記決定する工程は、各モニターする工程において前記透過窓ガラスの下流側でモニターした照度を比較し、それにより前記透過窓ガラスを交換するタイミングを決定する工程を含む、
    ことを特徴とする請求項9記載の方法。
  11. 前記決定する工程は、各モニターする工程においてモニターした照度を比較し、それにより、前記透過窓ガラスをクリーニングするタイミングを決定する工程を含む、
    ことを特徴とする請求項9記載の方法。
  12. 前記紫外線を通過させる工程及び前記モニターする工程は、クリーニング中に間欠的にまたは連続的に実行され、それにより、クリーニングの終了時点が決定される、
    ことを特徴とする請求項1記載の方法。
  13. 前記決定する工程は、紫外線の出力を決定する工程を含み、当該方法はさらに、前記透過窓ガラスを通過する紫外線の照度を維持するべく、紫外線の決定された出力に基づいて紫外線の出力を増加する工程を含む、
    ことを特徴とする請求項3記載の方法。
  14. 前記決定する工程は、クリーニングを開始するための照度の閾値を設定し、かつ、前記透過窓ガラスの下流側でモニターした照度を前記閾値と比較することにより、クリーニングのタイミングを決定する工程を含む、
    ことを特徴とする請求項1記載の方法。
  15. 前記決定する工程は、前記透過窓ガラスを交換するための照度の閾値を設定し、かつ、前記透過窓ガラスの下流側でモニターした照度を前記閾値と比較することにより、前記透過窓ガラスを交換するタイミングを決定する工程を含む、
    ことを特徴とする請求項1記載の方法。
  16. 前記紫外線の波長は、170nmから300nmである、
    ことを特徴とする請求項1記載の方法。
  17. 紫外線により半導体基板をキュアリングするための方法であって、
    (i)チャンバ内に設けられた透過窓ガラスに紫外線を通過させることにより、前記チャンバ内に配置された前記半導体基板をキュアリングする工程と、
    (ii)前記透過窓ガラスをクリーニングする工程と、
    (iii)請求項1に記載の方法を実行する工程であって、それにより、前記透過窓ガラスのクリーニングのタイミング及び/または間隔、前記透過窓ガラスを交換するタイミング、紫外線ランプを交換するタイミング、及び/またはモニターした透過率に基づき紫外線の出力を決定するところの工程と、
    (iv)上記工程(iii)に従い、上記工程(ii)のタイミング及び/または間隔を制御し、前記透過窓ガラスを交換し、前記紫外線ランプを交換し、及び/または上記工程(i)の紫外線の出力を増加する工程と、
    を備えたことを特徴とする方法。
JP2008178594A 2007-07-19 2008-07-09 半導体基板をキュアリングするために紫外線照射を管理する方法 Active JP4971262B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/780021 2007-07-19
US11/780,021 US7501292B2 (en) 2007-07-19 2007-07-19 Method for managing UV irradiation for curing semiconductor substrate

Publications (2)

Publication Number Publication Date
JP2009272595A true JP2009272595A (ja) 2009-11-19
JP4971262B2 JP4971262B2 (ja) 2012-07-11

Family

ID=40265155

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008178594A Active JP4971262B2 (ja) 2007-07-19 2008-07-09 半導体基板をキュアリングするために紫外線照射を管理する方法

Country Status (4)

Country Link
US (1) US7501292B2 (ja)
JP (1) JP4971262B2 (ja)
KR (1) KR101498134B1 (ja)
CN (1) CN101350293B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014507064A (ja) * 2010-11-24 2014-03-20 アプライド マテリアルズ インコーポレイテッド 二重電球ランプヘッド制御方法
JP2014512102A (ja) * 2011-04-08 2014-05-19 アプライド マテリアルズ インコーポレイテッド Uv処理、化学処理、および堆積のための装置および方法
WO2022137725A1 (ja) * 2020-12-22 2022-06-30 東京エレクトロン株式会社 基板処理装置、基板処理方法、および紫外線照射ユニット

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150361557A1 (en) * 2014-06-17 2015-12-17 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8022377B2 (en) * 2008-04-22 2011-09-20 Applied Materials, Inc. Method and apparatus for excimer curing
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP2011029598A (ja) * 2009-06-30 2011-02-10 Hitachi Kokusai Electric Inc 基板処理方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8950470B2 (en) 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US8097085B2 (en) * 2011-01-28 2012-01-17 Poole Ventura, Inc. Thermal diffusion chamber
US8466411B2 (en) * 2011-03-03 2013-06-18 Asm Japan K.K. Calibration method of UV sensor for UV curing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN103577240B (zh) * 2012-07-25 2018-12-11 腾讯科技(深圳)有限公司 系统自动清理方法、装置及存储介质
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
CN103476170B (zh) * 2013-08-29 2015-08-26 合肥京东方光电科技有限公司 自动换灯装置和紫外固化设备
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103743254B (zh) * 2013-12-31 2016-08-24 深圳市华星光电技术有限公司 基板加热装置和方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10343907B2 (en) 2014-03-28 2019-07-09 Asm Ip Holding B.V. Method and system for delivering hydrogen peroxide to a semiconductor processing chamber
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9431238B2 (en) 2014-06-05 2016-08-30 Asm Ip Holding B.V. Reactive curing process for semiconductor substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9887128B2 (en) * 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10634555B2 (en) * 2018-04-18 2020-04-28 Xenon Corporation Protecting a UV-transmissive window
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TWI844567B (zh) 2018-10-01 2024-06-11 荷蘭商Asm Ip私人控股有限公司 基材保持裝置、含有此裝置之系統及其使用之方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
CN112471882A (zh) * 2020-10-28 2021-03-12 华帝股份有限公司 一种烹饪设备及其控制方法
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05304084A (ja) * 1992-04-28 1993-11-16 Canon Inc 紫外線照射装置
JPH0766133A (ja) * 1993-08-25 1995-03-10 Toshiba Corp 薄膜形成装置
JPH11214306A (ja) * 1998-01-27 1999-08-06 Toshiba Corp 多結晶半導体膜の製造方法、液晶表示装置の製造方法及びレーザアニール装置
JP2001332488A (ja) * 2000-05-25 2001-11-30 Tokyo Electron Ltd 基板処理装置
JP2002100571A (ja) * 2000-09-22 2002-04-05 Tokyo Electron Ltd 処理装置及び処理方法
US20020102413A1 (en) * 2000-03-20 2002-08-01 Qingyuan Han Plasma curing of MSQ-based porous low-k film materials
JP2005144382A (ja) * 2003-11-18 2005-06-09 Kurabo Ind Ltd 紫外線照射槽および液処理装置
JP2008192709A (ja) * 2007-02-01 2008-08-21 Ushio Inc 真空紫外線モニタ及びそれを用いた真空紫外線照射装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0650725B2 (ja) * 1985-02-09 1994-06-29 キヤノン株式会社 堆積膜形成装置
CN88102056A (zh) * 1988-04-19 1988-09-28 北京电光源研究所 紫外辐射照度计
US5910854A (en) * 1993-02-26 1999-06-08 Donnelly Corporation Electrochromic polymeric solid films, manufacturing electrochromic devices using such solid films, and processes for making such solid films and devices
US7070106B2 (en) * 1998-03-24 2006-07-04 Metrologic Instruments, Inc. Internet-based remote monitoring, configuration and service (RMCS) system capable of monitoring, configuring and servicing a planar laser illumination and imaging (PLIIM) based network
US6129954A (en) 1998-12-22 2000-10-10 General Electric Company Method for thermally spraying crack-free mullite coatings on ceramic-based substrates
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
KR100709036B1 (ko) * 2005-11-18 2007-04-18 코닉시스템 주식회사 챔버 윈도우 오염 검출장치를 구비하는 레이저 열처리 장치

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05304084A (ja) * 1992-04-28 1993-11-16 Canon Inc 紫外線照射装置
JPH0766133A (ja) * 1993-08-25 1995-03-10 Toshiba Corp 薄膜形成装置
JPH11214306A (ja) * 1998-01-27 1999-08-06 Toshiba Corp 多結晶半導体膜の製造方法、液晶表示装置の製造方法及びレーザアニール装置
US20020102413A1 (en) * 2000-03-20 2002-08-01 Qingyuan Han Plasma curing of MSQ-based porous low-k film materials
JP2001332488A (ja) * 2000-05-25 2001-11-30 Tokyo Electron Ltd 基板処理装置
JP2002100571A (ja) * 2000-09-22 2002-04-05 Tokyo Electron Ltd 処理装置及び処理方法
JP2005144382A (ja) * 2003-11-18 2005-06-09 Kurabo Ind Ltd 紫外線照射槽および液処理装置
JP2008192709A (ja) * 2007-02-01 2008-08-21 Ushio Inc 真空紫外線モニタ及びそれを用いた真空紫外線照射装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014507064A (ja) * 2010-11-24 2014-03-20 アプライド マテリアルズ インコーポレイテッド 二重電球ランプヘッド制御方法
JP2014512102A (ja) * 2011-04-08 2014-05-19 アプライド マテリアルズ インコーポレイテッド Uv処理、化学処理、および堆積のための装置および方法
US10570517B2 (en) 2011-04-08 2020-02-25 Applied Materials, Inc. Apparatus and method for UV treatment, chemical treatment, and deposition
WO2022137725A1 (ja) * 2020-12-22 2022-06-30 東京エレクトロン株式会社 基板処理装置、基板処理方法、および紫外線照射ユニット

Also Published As

Publication number Publication date
KR101498134B1 (ko) 2015-03-11
US20090023229A1 (en) 2009-01-22
KR20090009105A (ko) 2009-01-22
CN101350293B (zh) 2012-05-30
US7501292B2 (en) 2009-03-10
JP4971262B2 (ja) 2012-07-11
CN101350293A (zh) 2009-01-21

Similar Documents

Publication Publication Date Title
JP4971262B2 (ja) 半導体基板をキュアリングするために紫外線照射を管理する方法
US8466411B2 (en) Calibration method of UV sensor for UV curing
JP5026317B2 (ja) 液体フィルタを有する紫外線照射装置及び方法
US20080066778A1 (en) Method of cleaning uv irradiation chamber
CN102934199B (zh) 作为uv光栅或可调uv滤镜用于清洁半导体衬底的臭氧充气室
JP5258241B2 (ja) Uv照射チャンバーをクリーニングする方法
JP2006287228A (ja) セルフクリーニングが可能な半導体処理装置
CN1804114A (zh) 成膜装置及其方法
JP6068171B2 (ja) 試料の処理方法および試料処理装置
US20130122611A1 (en) Dual-bulb lamphead control methodology
US20080296258A1 (en) Plenum reactor system
US20020114880A1 (en) Coating of optical elements, in particular for use with ultraviolet light
KR101631586B1 (ko) 기판 성능에 대한 높은 처리량 및 안정한 기판을 위한 급속 주기적 및 포괄적 후 다중 기판 uv-오존 세정 시퀀스들의 중첩
JP2003344601A (ja) 光学素子の洗浄装置及び光学素子の洗浄方法、および光学素子の製造方法
TW202136727A (zh) 用於紫外半導體基板處理的原位光偵測方法及設備
JPH08316185A (ja) 紫外線処理装置
JP3085128B2 (ja) 光洗浄方法
JP5540932B2 (ja) エピタキシャル成長装置およびそのクリーニング方法
JP5372966B2 (ja) フォトマスクを作製する方法、およびその方法を実施するための装置
US8383296B2 (en) Method for manufacturing photomasks and device for its implementation
JP3179778U (ja) 光透過窓の保護部材付きuv照射チャンバー
JP2009021624A (ja) 処理装置及び処理装置のクリーニング方法
KR100839147B1 (ko) 감광막 제거 방법 및 이를 위한 감광막 제거 시스템
JP2011100849A (ja) シリコン薄膜の処理方法およびフラッシュランプ照射装置
WO2004020693A1 (ja) 基板処理装置のクリーニング方法及び基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120302

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120327

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120405

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150413

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4971262

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250