TWI428713B - 高腔室溫度製程及用於光阻剝除及後金屬蝕刻鈍化之腔室設計 - Google Patents
高腔室溫度製程及用於光阻剝除及後金屬蝕刻鈍化之腔室設計 Download PDFInfo
- Publication number
- TWI428713B TWI428713B TW096135604A TW96135604A TWI428713B TW I428713 B TWI428713 B TW I428713B TW 096135604 A TW096135604 A TW 096135604A TW 96135604 A TW96135604 A TW 96135604A TW I428713 B TWI428713 B TW I428713B
- Authority
- TW
- Taiwan
- Prior art keywords
- chamber
- gas
- chamber body
- temperature
- substrate
- Prior art date
Links
- 229910052751 metal Inorganic materials 0.000 title claims description 21
- 239000002184 metal Substances 0.000 title claims description 21
- 238000000034 method Methods 0.000 title claims description 16
- 238000002161 passivation Methods 0.000 title claims description 7
- 230000008569 process Effects 0.000 title description 11
- 238000013461 design Methods 0.000 title description 3
- 239000000758 substrate Substances 0.000 claims description 59
- 229920002120 photoresistant polymer Polymers 0.000 claims description 13
- 239000004065 semiconductor Substances 0.000 claims description 11
- 238000012545 processing Methods 0.000 claims description 9
- 239000012530 fluid Substances 0.000 claims description 7
- 238000007789 sealing Methods 0.000 claims description 6
- 239000012809 cooling fluid Substances 0.000 claims 4
- 238000009832 plasma treatment Methods 0.000 claims 1
- 239000007789 gas Substances 0.000 description 156
- 238000001816 cooling Methods 0.000 description 13
- 239000007788 liquid Substances 0.000 description 12
- 239000000463 material Substances 0.000 description 9
- 238000012546 transfer Methods 0.000 description 9
- 229910052782 aluminium Inorganic materials 0.000 description 8
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 8
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 7
- 239000000919 ceramic Substances 0.000 description 6
- 238000000576 coating method Methods 0.000 description 6
- 238000004891 communication Methods 0.000 description 6
- 230000007246 mechanism Effects 0.000 description 6
- 239000011248 coating agent Substances 0.000 description 5
- 238000002955 isolation Methods 0.000 description 5
- 230000009471 action Effects 0.000 description 4
- 230000036760 body temperature Effects 0.000 description 4
- 238000005260 corrosion Methods 0.000 description 4
- 230000007797 corrosion Effects 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000002923 metal particle Substances 0.000 description 4
- 150000003254 radicals Chemical class 0.000 description 4
- 230000006798 recombination Effects 0.000 description 4
- 238000005215 recombination Methods 0.000 description 4
- 229910000838 Al alloy Inorganic materials 0.000 description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 3
- IRPGOXJVTQTAAN-UHFFFAOYSA-N 2,2,3,3,3-pentafluoropropanal Chemical compound FC(F)(F)C(F)(F)C=O IRPGOXJVTQTAAN-UHFFFAOYSA-N 0.000 description 2
- KLZUFWVZNOTSEM-UHFFFAOYSA-K Aluminum fluoride Inorganic materials F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 229910000990 Ni alloy Inorganic materials 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 238000005452 bending Methods 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 230000037361 pathway Effects 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 206010010904 Convulsion Diseases 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 206010028347 Muscle twitching Diseases 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- AZDRQVAHHNSJOQ-UHFFFAOYSA-N alumane Chemical group [AlH3] AZDRQVAHHNSJOQ-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 230000001010 compromised effect Effects 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000036461 convulsion Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 239000011152 fibreglass Substances 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 239000008187 granular material Substances 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000013011 mating Effects 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000005192 partition Methods 0.000 description 1
- 238000004064 recycling Methods 0.000 description 1
- 230000006903 response to temperature Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/16—Vessels; Containers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
Landscapes
- Engineering & Computer Science (AREA)
- Analytical Chemistry (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Drying Of Semiconductors (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Plasma Technology (AREA)
Description
積體電路由上面形成有圖案化微電子層之晶圓或基板形成。在製造積體電路之製程中,通常使用經圖案化之光阻層作為遮罩層用於由先前沈積的毯覆式層形成圖案化層。在基板上形成圖案化層中的一者之後,可在繼續下一製程之前在剝除器腔室或灰化器中自基板移除相應光阻層。
由於在半導體製造鑄工廠中頻繁使用光阻剝除,故剝除器或剝除器腔室經設計以具有極短的處理時間(亦即,高產出)以降低總的基板製造成本。因此,下游電漿剝除器腔室之效能通常由其剝除速率來判定,剝除速率係定義為每單位時間光阻移除之速率。剝除速率判定基板被暴露至電漿的時間長短。由於剝除器腔室中之電漿可能損害基板上的各種電路,所以較佳藉由增加剝除速率來最小化基板至離子化氣體之暴露時間。下文中,互換地使用術語離子化氣體與電漿。
圖1展示剝除器腔室之下游腔室100之示意圖。如圖所描繪,可由晶圓加熱器夾盤106來固持具有待剝除光阻層之基板104。作用氣體可由電漿源激發為電漿108、經由腔室壁102中的開口110進入腔室100中,且自此處通過一或多個擋板或擴散器112中的孔。擋板112可分散氣體以改良基板表面處之氣體流動均一性。一般而言,每一擋板可含有大量孔122。圖2展示圖1中之擋板112中的一者之俯視平面圖。剝除均一性及剝除速率可在很大程度上視擋板組態而
定。擋板中之孔122的大小及位置可經判定以增強基板表面處之氣體流動之均一性。例如,如圖2中所描繪,孔122之大小可隨著距擋板122之中心的距離增加而增加,此係因為擋板112之中心比邊緣可接收更多氣流。在用以分散氣體之另一設計中,可使用簇射頭(showerhead)。然而,簇射頭中的孔之數目及大小使得其通常產生背壓。背壓之產生可減緩簇射頭上之氣體流動並降低流體動力學效率。
腔室100之剝除速率可由若干因素而被不利地影響。例如,當氣體或電漿108流過開口110時,氣體或電漿108膨脹以填充腔室內之較大空間。此膨脹可降低氣體溫度。由於剝除速率可隨著腔室溫度及/或基板溫度增加而增加,故剝除速率可歸因於氣體膨脹而減小。此外,由於氣體通過擋板112,所以氣體將其熱能的一部分傳遞至擋板112,且藉此由於相同原因而降低剝除速率。此外,可能在腔室內形成再循環區域120。再循環區域120中之流滯留時間可能足夠長以使得氣體自由基或離子物質之一部分再結合為中性物質。再結合製程可產生可被傳遞至腔室壁102及擋板112之放熱反應能量。又,可與電漿一起通過孔122之中性物質可能無助於光阻層之移除。
多個加熱器116(如圖1中所示)可安裝於腔室壁102內以加熱腔室100。一般而言,習知剝除器腔室之加熱器用以將腔室溫度維持於一位準以僅防止壁沈積,且因而腔室溫度保持得相對較低。然而,若為了增加氣體溫度且藉此增強剝除速率而加熱剝除器腔室,則將需要大範圍加熱及安
裝於腔室壁上之複雜的冷卻機構及/或熱絕緣體118以滿足半導體行業裝備安全要求(Semiconductor Industry Equipment Safety Requirements)(或簡寫形式SEMI S2)。為了操作員之安全,SEMI S2要求外部壁溫度低於60℃。絕緣體118之材料可經選擇而為與無塵腔室相容的,亦即,其不應散發微粒。舉例而言,將不接受以普通工業玻璃纖維熱絕緣薄片或毯來簡單地包覆腔室體。彼等要求通常導致選擇較昂貴的絕熱材料及/或複雜的冷卻機制,從而增加腔室設計之總複雜度及製造成本。因此,需要一種新的剝除器腔室,其可以具成本效益之方式提供高溫氣體以增強剝除速率且滿足安全要求。
在一實施例中,用於將氣體傳送至基板之真空腔室包括:一內部腔室體,其形成一空腔以圍繞基板且具有通過其中而延伸至空腔之複數個氣體通路;及一或多個加熱器,其用以加熱內部腔室體。內部腔室體可滑動地安裝於外部腔室體上,該外部腔室體經組態以圍繞內部腔室之外表面,在外部腔室體與內部腔室之間具有間隙。排氣單元自空腔抽汲氣體,安裝於內部腔室體上之腔室頂部覆蓋內部腔室體之頂表面(在腔室頂部與該頂表面之間具有間隙),且具有一與氣體通路流體連通之開口,且一電漿源激發氣體且耦接至開口用於與空腔流體連通。
在另一實施例中,用於傳送氣體至基板之真空腔室包括:一內部腔室體,其形成一空腔以圍繞基板且具有一開
口及用以加熱內部腔室體之一或多個加熱器;及一可滑動地安裝於內部腔室上之氣體分布組件,其具有一套入開口中之突出物,且包括通過內部腔室延伸至空腔之複數個氣體通路。內部腔室體可滑動地安裝於外部腔室體上,該外部腔室體經組態以圍繞內部腔室之外表面,在外部腔室體與內部腔室之間具有間隙。排氣單元自空腔抽汲氣體,腔室頂部安裝於氣體分布組件上且緊固至氣體分布模組,且經組態以覆蓋內部腔室體之頂表面(在腔室頂部與該頂表面之間具有間隙)且具有一與氣體通路流體連通之開口,且電漿源激發氣體且耦接至腔室頂部之開口以用於與空腔流體連通。
描述一種剝除器腔室,其用於增加安置於該腔室內的基板上之光阻的剝除速率。某些實施例可包括一氣體分布組件,該氣體分布組件具有一暴露至氣體之極小的表面積且包括形成於其中之複數個氣體通路,其中氣體隨著其通過該等通路而散開。剝除器腔室亦可包括一內部腔室體,其形成用於進一步散開氣體且藉此在基板表面上提供均勻分布之氣流之圓頂狀空腔。“圓頂狀”空腔較佳具有在遠離基板之方向上減小之寬度或直徑,亦即,空腔可為圓錐形、半球形、凹形或其他形狀。
在使用氣體之剝除或灰化製程中,氣體可具有高流動速率及高壓力,從而在下游剝除器腔室之開口處導致高的氣體速度。在某些申請案中,速度可如在全文以引用方式併
入本文中之於2005年4月1日申請的申請中之美國專利申請案第11/096,820號中所估計而高達177公尺/秒。
圖3展示根據一實施例之在300處展示且具有一氣體分散機制之例示性剝除器腔室之橫截面圖。如圖所描繪,剝除器腔室可包括:一溫控夾盤334,其用於在其上支撐一基板332;一電漿源304,其用於將作用氣體302激發為電漿306;一具有一開口之溫控腔室頂部308,該開口之入口與電漿源304的出口對準;一內部腔室體310,其定位於腔室頂部308下面、圍繞基板332及夾盤334且包括展示於區域342內之上部腔室圓頂,該上部腔室圓頂具有一經勾畫輪廓以降低流再循環及紊流之內表面;一外部腔室體314,其用於將內部腔室體310安裝於其上且圍繞內部腔室體310之側面;及一排氣單元350。
視所欲製程而定,作用氣體302可包括氧氣、氮氣、氯氣、氬氣、氙氣、Cx
Fy
(x1,y1)及Cx
Fy
Hz
(x1,y1,z1)。電漿源304可為微波或射頻供電且包括沿電漿源304之內壁定位的較佳由藍寶石製成之氣體輸送管。由於離子化氣體306可通過腔室頂部308之開口,故氣體可傳遞熱能至腔室頂部308。腔室頂部308可包括自腔室頂部308移除熱能之一或多個液體冷卻通道326。可由回饋控制系統來控制流過通道326之液體(較佳為水)之流動速率及溫度。
如圖3所描繪,內部腔室體310之頂部中央部分可包括一展示於區域346內之氣體分布區段。氣體分布區段346之頂表面可與腔室頂部308中的開口之出口對準,使得由電漿
源304激發之作用氣體可直接進入內部腔室體310中。氣體分布區段346可包括複數個氣體通路347,其中氣體通路347可經成形及定向使得自氣體通路347退出之氣體被分散且均一地散開。舉例而言,氣體通路可成角度,使得通路之軸線與支撐件334上的晶圓332相交。可由上部腔室圓頂342之彎曲內表面拘束由氣體分布區段346分散之氣體,以將作用氣體集中於鄰近基板之區域中。上部腔室圓頂342之經勾畫輪廓的內表面與氣體通路347之出口配置的組合如箭頭330所指示而分散氣體,且藉此在基板表面處產生均勻分布之氣流。內部腔室體310之下部部分可包括一垂直內壁,其圍繞夾盤周邊340且向外與夾盤周邊340隔開。對於處理圓形基板而言,內部腔室310之下部部分較佳包含一均一厚度之圓柱形壁。內部腔室體310亦可在其底部處包括一彎曲內壁區段344以朝向外部腔室體314之中央定位的出口358逐漸改變流動方向。圖3描繪氣體通路347具有遍及一第一範圍之若干氣體入口及遍及一第二範圍之若干氣體出口,第二範圍在面積大於該第一範圍。第二範圍延伸而遍及一不超過在腔室100中待處理之基板332的暴露表面的面積之50%之範圍,該等氣體出口經定向使得靠近中心的氣體出口朝向該基板332之中央區域引導作用氣體,且遠離中心的氣體出口朝向基板332之一外部區域引導作用氣體。
可使用耐電漿材料製造腔室頂部308、內部腔室體310及外部腔室體314,其中耐電漿材料可由金屬或非金屬材料
形成。若使用一或多種金屬來形成該等零件,則其可由鋁、鋁合金、不鏽鋼及高鎳合金、石英、氧化鋁陶瓷、氮化鋁陶瓷及/或氧化釔陶瓷製成。視需要,可由耐電漿塗層保護使用金屬製造之零件不受腐蝕。在一實例中,由於鋁的自然表面氧化物提供優良的腐蝕阻障,故可使用鋁。當使用含氟作用氣體且在某些處理條件下時,鋁自然氧化物可能不能提供足夠保護以避免氟化鋁之形成,其在基板上導致污染。為防止氟化鋁形成於鋁零件上,可將對氟化學性質具有優異抵抗力之塗層塗覆於此等零件之表面。諸如鋁及其合金上的陽極化及電漿噴塗之氧化鋁、鍍鎳、石英、氧化釔及/或其他陶瓷材料之塗層可用於保護免受各種化學性質之影響。
內部腔室體310可在真空密封區域處與腔室頂部308接觸。圖4展示包括真空密封區域之畫有圓圈區域312(展示於圖3中)之放大圖。如圖所描繪,O形環402可安置於腔室頂部308與形成於內部腔室體310上之突出臺階或突出物404之間,且准許維持真空。腔室頂部308與腔室底部310較佳由諸如鋁合金之金屬製成。加熱器322可為桿形匣式加熱器或帶式加熱器。突出物404亦與腔室頂部308之底表面金屬對金屬接觸。內部腔室體310及頂部308可能經歷不同熱膨脹。因此,隨著內部腔室體310及頂部308加熱及冷卻,突出物404可歸因於內部腔室體310與頂部308之間的熱膨脹失配而相對於頂部308滑動。在金屬對金屬接觸區域中發生之滑動或摩擦運動可產生至腔室內部中之金屬顆
粒,且對於基板332可為有害的。較佳最小化金屬對金屬接觸區域以在水處理期間最小化自底部310至頂部308之熱傳遞。突出物404可經定尺寸以最小化金屬對金屬接觸區域,同時在滑動運動下保持與O形環402接觸。最小化之金屬對金屬接觸區域亦可降低自內部腔室體310至腔室頂部308之熱傳遞,且因此降低將內部腔室體310維持於所要溫度範圍所需要之熱功率。內部腔室體310與腔室頂部308之間的間隙318有助於降低自內部腔室體310至腔室頂部308之熱傳遞。
在較佳實施例中,內部腔室體310包括一向外延伸之環形突出物,該環形突出物在其頂部處支撐於外部腔室體314的側壁之上部部分中的環形凹座中。圖5展示圖3中之畫有圓圈區域320之放大圖,其說明亦充當真空密封區域之金屬對金屬接觸區域。如圖所描繪,O形環506可安置於內部腔室體310與外部腔室體314之間,且准許維持真空。內部腔室體310可遮蓋外部腔室體314而使其不受作用氣體影響,且由內部腔室體310與外部腔室體314之間的間隙316熱絕緣以降低至外部腔室體314之熱傳遞,且藉此使用由一或多個加熱器322之合適加熱來將內部腔室體310維持於高溫。舉例而言,連續的電阻加熱器或系列隔開的電阻加熱器可被嵌入於腔室體310中。
可藉由任何合適機制(諸如,藉由使溫控流體循環通過外部腔室體314)而使外部腔室體314維持於所要溫度,外部腔室體溫度較佳維持於低於約60℃。外部腔室體314可
包括一或多個液體冷卻通道328以使液體循環從而用於熱移除。可使用習知冷卻機制(諸如,罐裝於外部腔室體314中的經加工凹槽中之銅管),且視需要可由回饋控制系統來控制通道328中之冷卻液(諸如,水)之溫度及流動速率。
可由一或多個溫度感應器325來監視內部腔室體310之溫度。溫度感應器325可為(例如)熱電耦或電阻式溫度計(RTD,Resistance Temperature Detector)。溫度感應器325可連接至操作加熱器322之電源324之控制器,其中電源324可充當溫度調整系統,諸如,回饋(或自動)溫度控制系統。作為一變體,電源324可准許內部腔室體310溫度之手動調整。
如圖5中所描繪,內部腔室體310可藉由收納各別銷504之一或多個槽502而定位為與外部腔室體314所要地對準。如圖所示,槽502大於銷504以適應內部腔室310之熱膨脹。內部腔室體310之溫度在操作期間可能遠遠高於外部腔室體314之溫度。例如,內部腔室體310之操作溫度可在約20℃至350℃之範圍內,而外部腔室體溫度可保持低於60℃。對一些實施例而言,內部腔室體310之較佳操作溫度係位於20℃至50℃、50℃至100℃、100℃至150℃、150℃至200℃、200℃至250℃、250℃至300℃或300℃至350℃之範圍內。
為允許內部腔室體在高溫下自由膨脹,同時保持內部腔室體相對於外部腔室居中,使用圖6a中所示之對準特徵。圖6a及圖6b分別展示內部腔室體310在腔室溫(低溫)下及在
操作期間之高溫下的俯視平面圖。在腔室溫下之安裝期間,內部腔室體310可與外部腔室體314具有相同溫度。內部腔室體310可安裝於外部腔室體314上,且藉由形成於內部腔室體310中的三個槽502及緊固至外部腔室體314之三個銷504而居中。在操作期間,內部腔室體310在其徑向維度方向可經歷比外部腔室體314大之熱膨脹,從而使銷504分別沿槽502滑動。槽502及銷504可形成浮動耦接機制,且防止歸因於內部腔室體310與外部腔室體314之間的熱膨脹失配之損害,亦即,內部腔室體310可滑動地安裝於外部腔室體314上,以允許無限制的熱膨脹同時保持內部腔室體居中。作為一變體,槽可形成於外部腔室體314中,而銷可緊固至內部腔室體310。在300處展示之剝除器腔室中可使用任何合適數目的銷及槽,或可使用其他安裝配置(若需要)。
如圖3及圖6a至圖6b中所描繪,內部腔室體310包括氣體分布區段346。氣體分布區段346可包括用於散開氣體之複數個氣體通路347。氣體通路347可具有相同或不同大小之均一或不均一橫截面,及/或通路之軸線可遠離內部腔室體310之垂直中心軸線而成角度,使得氣體隨著其通過氣體通路347而散開。不同流動及壓力條件以及氣體類型可使用具有為獲得最佳總效能而最佳化之不同通路角度及/或大小之通路之內部腔室體。作為一變體,每一通路可以一角度而傾斜以最小化或防止在微波電漿源304中產生的紫外線到達基板表面。作為另一變體,每一氣體通路可在
其出口端處張開以改良自其退出之氣體的圓周均一性。氣體通路347之直徑及數目可經選擇使得其在基板332上提供均一氣體分布但不在電漿源304中產生大量背壓。在圖6a至圖6b中,為了說明僅展示13個氣體通路。然而,氣體通路347之數目、大小及位置可經調整以在腔室310中提供離子化作用氣體的所要分布。可在全文以引用方式併入本文中之共同讓渡之美國專利申請案第11/096,820號中找到氣體通路之更詳細資訊。
返回參看圖3,可由排氣單元350經由出口埠358汲取氣體。對腔室之抽汲可能影響基板332上的光阻之剝除速率。為提供均一抽汲,出口埠358可中心定位於外部腔室體314的底部處。排氣單元350可包括一隔離閥352及一耦接至真空泵356之節流閥354。
除剝除製程外,在300處展示之剝除器腔室可用以執行後金屬蝕刻製程。在被稱為鈍化之後金屬蝕刻製程中,腔室300可作為新近蝕刻的基板之腐蝕控制器而操作。舉例而言,在以含有氯氣之作用氣體電漿蝕刻基板332上之鋁層之後,可能需要盡可能快地中和基板332上之殘餘蝕刻氣體(諸如,Cl2
),使得其不侵蝕基板332上之金屬層。可經由具有或不具有電漿源電源之電漿源304引入水蒸汽,使得水蒸汽電漿或僅水蒸汽用於鈍化。已發現,內部腔室體溫度之增加可改良剝除速率及此鈍化之效率。例如,當內部腔室體溫度自150℃增加至300℃時,剝除速率可改良20%。此溫度增加可降低有缺陷基板之數目大於50%。雖
然不希望受理論約束,但咸信改良係基於兩個效應。第一,內部腔室體310之表面上的自由基之再結合速率在較高表面溫度下可為較低的,此係因為氣體分子較不可能黏附至熱表面。第二,大批電漿之溫度之增加可影響剝除速率及鈍化。較熱電漿可增強材料表面處之反應速率,該材料為剝除製程中之光阻或鈍化中之金屬(諸如,鋁)。
可能需要使用具有高作用氣體流動速率(每分鐘6至8公升)之高功率電漿源(例如,6kW)以達成高光阻剝除速率。由於氣體分布部分346可接近電漿源304的出口而定位,故氣體分布部分346可能會過熱且可能歸因於早於內部腔室體310之其他部分之侵蝕而受到損害。在一實施例中,氣體分布部分346與內部腔室體310之其餘部分隔開,使得氣體分布部分346可被更換以進行維護。圖7展示在圖3中展示於300處的剝除器腔室之替代實施例700的橫截面圖。如圖所描繪,展示於700處之剝除器腔室包括:一溫控夾盤740,其用於在其上支撐一基板738;一電漿源704,其將作用氣體702激發為電漿706且為(但不限於)微波或射頻供電之源;一具有一中央開口之腔室頂部708,該開口之入口與電漿源704的出口對準;一氣體噴射器或氣體分布組件712,其緊固至腔室頂部708且具有形成於其中之複數個氣體通路742;一內部腔室體710,其定位於氣體分布組件712下方且包括一具有一流線型內表面以降低流再循環及紊流之上部腔室圓頂,且包括一在支撐件740的圓周方向圍繞基板738及支撐件740之側面部分;及一外部
腔室體730,其用於將內部腔室體710可滑動地安裝於其上且圍繞內部腔室體710之側面。
腔室頂部708中的開口之出口與氣體通路742之入口流體連通。氣體通路742可遠離氣體分布組件712之中心線成角度,使得自氣體通路退出之氣體分散且均一地散開。氣體通路742之配置、大小及數目可類似於圖6a中的氣體通路347之配置、大小及數目。例如,氣體通路742之大小可隨著距氣體分布組件712之中心的距離增加而增加。然而,應瞭解,可以任何所要配置提供氣體通路742之數目、大小及位置。
如在展示於300處(圖3)之剝除器腔室中,氣體分布組件712、腔室頂部708、內部腔室體710及外部腔室體730可使用耐電漿材料來製造,其中耐電漿材料可由金屬或非金屬材料形成。若使用一或多種金屬來形成氣體分布組件712,則氣體分布組件712可由鋁、鋁合金、不鏽鋼及高鎳合金、石英、氧化鋁陶瓷、氮化鋁陶瓷及/或氧化釔陶瓷製成。又,氣體分布組件712可具有耐電漿塗層以保護其不受腐蝕,其中塗層材料可類似於在展示於圖3中的實施例中較早論述之塗層材料。氣體分布組件712之中央部分可具有大體上平坦的圓盤形狀。然而,氣體分布組件712可具有其他合適形狀。可在共同讓渡之美國專利申請案第11/096,820號中找到氣體分布組件712之更詳細描述。
氣體分布組件712可藉由使用合適的扣件716(諸如,螺桿或螺釘)而牢固地附著至腔室頂部708之底表面。電漿源
704可經由氣體分布組件712與內部腔室體710連通,使得氣體經由氣體通路742向下游輸送至內部腔室體710。氣體分布組件712之頂表面之大部分可與腔室頂部708接觸,使得自作用氣體傳遞至氣體分布組件712之熱能可被有效地傳導至腔室頂部708,且藉此可抑制氣體分布組件712過熱。O形環714可安置於腔室頂部708與氣體分布組件712之間用於真空密封。腔室頂部708可包括一或多個液體冷卻通道728,其中可由回饋控制系統來控制冷卻液(諸如,水)之流動速率及溫度。
如上所論述,氣體分布組件712與腔室頂部708具有相對較大的接觸面積。即使由扣件716將氣體分布組件712與腔室頂部708緊固至彼此,仍可在其間發生熱膨脹之稍微失配,且因而可於配合表面之間發生摩擦。如上所論述,摩擦可產生金屬顆粒。為避免O形環714之向內的表面摩擦,可在真空O形環714之向內相對表面之間引入小間隙721,其中O形環714可排除可能在O形環外產生於接觸表面之間的金屬顆粒。
應注意,氣體分布組件712之溫度可影響展示於700處的剝除器腔室之剝除速率。舉例而言,在氣體分布組件712的表面上的氣體自由基之再結合速率可隨著表面溫度而變化。在展示於100處(圖1)之下游腔室中,歸因於擋板112之大小而可能難以調節擋板112之溫度。當擋板112之溫度隨時間變化時,製程結果可自基板至基板而不同。亦可能難以保持每一擋板之溫度均一。對於圖1中所示之腔室而
言,每一擋板112之溫度在擋板之中央處可為較高的,此係因為此區域直接處於電漿源之出口下且比擋板之其他區域接收更多熱負載。不均一溫度概況可使擋板表面具有不均一的自由基再結合效率,其進一步使製程複雜化。相比之下,氣體分布組件712可具有顯著小於習知擋板112的徑向尺寸之徑向尺寸,其可增強氣體分布組件712沿其徑向方向之溫度分布的均一性且降低隨時間的溫度波動。
內部腔室體710可包括一上部腔室圓頂,其中圓頂之頂部部分具有一開口以收納氣體分布組件712之底部臺階部分或突出物。由氣體分布組件712分散之氣體可由上部腔室圓頂之內表面拘束以用於進一步膨脹。內部腔室體710在真空密封區域處可與氣體分布組件712處於金屬對金屬接觸。圖8展示含有真空密封區域之畫有圓圈區域720(展示於圖7中)之放大圖。如圖所描繪,O形環802可安置於內部腔室體710與形成於氣體分布組件712上的突出物804之間。如結合圖4所論述,金屬對金屬接觸區域可經最小化以降低金屬顆粒之形成及氣體分布組件712與內部腔室體708之間的熱傳遞。間隙723可用以降低內部腔室體710與腔室頂部708以及氣體分布組件712之間的熱傳遞。
內部腔室體710可在真空密封區域726處安裝於外部腔室體730上。真空密封區域726之結構及功能特徵可與圖3中的區域320之結構及功能特徵相同。內部腔室體710可由耦接至一或多個電源724之一或多個加熱器722而加熱。加熱器722可為桿形匣式加熱器或帶式加熱器。可由一或多個
溫度感應器725來監視內部腔室體710之溫度。溫度感應器725與控制器協作,使得電源724可回應於溫度感應器讀數而啟動且藉此提供自動溫度控制系統。作為一變體,電源724可准許內部腔室體溫度之手動調整。
如在展示於300處之剝除器腔室狀況下,由於安全原因,外部腔室體730之溫度可保持於預定位準(諸如,60℃)以下,而內部腔室體710之溫度可高達350℃。為最小化內部腔室體與外部腔室體之間的熱傳遞,間隙734可提供於其間。又,外部腔室體730可包括一或多個液體冷卻通道732以控制其溫度。內部腔室體710可包括一彎曲底部部分733,其用於使氣體流動方向朝向藉由間隙而與外部腔室體730的類似成形部分隔開之排氣埠750逐漸轉向。可藉由耦接至排氣埠750之排氣單元758來經由排氣埠750而汲取作用氣體及副產品。排氣單元758可包括一隔離閥752及一耦接至真空泵756之節流閥754。
雖然已參考本發明之特定實施例而詳細描述本發明,但熟習此項技術者將易瞭解,可在不偏離隨附申請專利範圍之範疇的情況下進行各種改變及修改,且可使用等效物。
100‧‧‧腔室
102‧‧‧腔室壁
104‧‧‧基板
106‧‧‧晶圓加熱器夾盤
108‧‧‧電漿
110‧‧‧開口
112‧‧‧擋板/擴散器
116‧‧‧加熱器
118‧‧‧熱絕緣體
120‧‧‧再循環區域
122‧‧‧孔
300‧‧‧剝除器腔室
302‧‧‧作用氣體
304‧‧‧電漿源
306‧‧‧電漿
308‧‧‧溫控腔室頂部
310‧‧‧內部腔室體/腔室底部
312‧‧‧畫有圓圈區域
314‧‧‧外部腔室體
316‧‧‧間隙
318‧‧‧間隙
320‧‧‧畫有圓圈區域
322‧‧‧加熱器
324‧‧‧電源
325‧‧‧溫度感應器
326‧‧‧液體冷卻通道
328‧‧‧液體冷卻通道
330‧‧‧箭頭
332‧‧‧基板/晶圓
334‧‧‧溫控夾盤/支撐件
340‧‧‧夾盤周邊
342‧‧‧上部腔室圓頂
344‧‧‧彎曲內壁區段
346‧‧‧氣體分布區段
347‧‧‧氣體通路
350‧‧‧排氣單元
352‧‧‧隔離閥
354‧‧‧節流閥
356‧‧‧真空泵
358‧‧‧出口埠/出口
402‧‧‧O形環
404‧‧‧突出臺階/突出物
502‧‧‧槽
504‧‧‧銷
506‧‧‧O形環
700‧‧‧剝除器腔室
702‧‧‧作用氣體
704‧‧‧電漿源
706‧‧‧電漿
708‧‧‧腔室頂部
710‧‧‧內部腔室體
712‧‧‧氣體噴射器/氣體分布組件
714‧‧‧O形環
716‧‧‧扣件
720‧‧‧畫有圓圈區域
721‧‧‧間隙
722‧‧‧加熱器
723‧‧‧間隙
724‧‧‧電源
725‧‧‧溫度感應器
726‧‧‧真空密封區域
728‧‧‧液體冷卻通道
730‧‧‧外部腔室體
732‧‧‧液體冷卻通道
733‧‧‧彎曲底部部分
734‧‧‧間隙
738‧‧‧基板
740‧‧‧溫控夾盤/支撐件
742‧‧‧氣體通路
750‧‧‧排氣埠
752‧‧‧隔離閥
754‧‧‧節流閥
756‧‧‧真空泵
758‧‧‧排氣單元
802‧‧‧O形環
804‧‧‧突出物
圖1展示光阻剝除器腔室之下游腔室之橫截面圖。
圖2說明圖1中所示之腔室的隔板。
圖3展示根據一實施例之例示性剝除器腔室的橫截面圖。
圖4至圖5展示圖3中兩個畫有圓圈的區域之放大圖。
圖6a展示圖3中之內部腔室體在低溫下之俯視平面圖。
圖6b展示圖3中之內部腔室體在高溫下之俯視平面圖。
圖7展示圖3中的剝除器腔室之替代實施例的橫截面圖。
圖8展示圖7中畫有圓圈的區域之放大圖。
300‧‧‧剝除器腔室
302‧‧‧作用氣體
304‧‧‧電漿源
306‧‧‧電漿
308‧‧‧溫控腔室頂部
310‧‧‧內部腔室體/腔室底部
312‧‧‧畫有圓圈區域
314‧‧‧外部腔室體
316‧‧‧間隙
318‧‧‧間隙
320‧‧‧畫有圓圈區域
322‧‧‧加熱器
324‧‧‧電源
325‧‧‧溫度感應器
326‧‧‧液體冷卻通道
328‧‧‧液體冷卻通道
330‧‧‧箭頭
332‧‧‧基板/晶圓
334‧‧‧溫控夾盤/支撐件
342‧‧‧上部腔室圓頂
344‧‧‧彎曲內壁區段
346‧‧‧氣體分布區段
347‧‧‧氣體通路
350‧‧‧排氣單元
352‧‧‧隔離閥
354‧‧‧節流閥
356‧‧‧真空泵
358‧‧‧出口埠/出口
Claims (21)
- 一種真空腔室,其包含一腔室頂部;一氣體分佈部件及一外部腔室體;該氣體分布部件包含:一內部腔室體,其經組態利用在該內部腔室體之外表面與該外部腔室體之內表面之間的一間隙而可滑動地支撐於該外部腔室體上;延伸通過該內部腔室體之一中央上部部分之氣體通路,該等氣體通路經調適以將作用氣體朝向該半導體基板而引導至該腔室中;一圓頂形內表面,其經調適以覆蓋該基板並將該作用氣體拘束於一空間中;以及一中央上部真空密封表面,其環繞該等氣體通路並經調適以與該腔室頂部之一下部表面形成一真空密封。
- 如請求項1之腔室,其中該等氣體通路中之至少一些通路成角度以使其軸線與該基板之一暴露表面相交。
- 如請求項1之腔室,其進一步包含一或多個加熱器,該等一或多個加熱器由該內部腔室體支撐且可操作以將該內部腔室體加熱至一所需溫度。
- 如請求項1之腔室,其進一步包含一或多個溫度感應器,該一或多個溫度感應器可操作以監控該內部腔室體的該溫度。
- 如請求項1之腔室,其中該內部腔室體為一金屬體,該金屬體包括與該腔室頂部形成金屬對金屬接觸及該真空密封之一突出物。
- 如請求項1之腔室,其中該內部腔室體包含一主體及一上部板,該等氣體通路定位於該上部板中,該主體包括在其一上部壁中之一開口,該上部壁包括圍繞該開口之一真空密封表面,該上部板包括圍繞該等氣體通路之該中央上部真空密封表面及套入於該上部板的該等真空密封表面與該主體之間以便在其間形成一真空密封之一O形環。
- 如請求項1之腔室,其中該氣體分佈部件包含一下部真空密封表面,該下部真空密封表面圍繞該部件之一外部側壁且經調適以與該腔室的一側壁之一上部部分上的一上部表面形成一真空密封。
- 如請求項1之腔室,其中該氣體分佈部件包含一頂壁、側壁及底壁,該頂壁具有一厚度,該厚度朝向該頂壁之一外部周邊而增加;該側壁具有一厚度,該厚度在該側壁之一上部部分處較大;且該底壁在其中具有一中央開口,該中央開口經定大小以允許該部件降低至該腔室中,使得一定位於該腔室的該底部處之基板支撐件可套入該中央開口內。
- 如請求項1之腔室,其中該等氣體通路具有遍及一第一範圍之若干氣體入口及遍及一面積大於該第一範圍之第二範圍之若干氣體出口,該第二範圍延伸而遍及一不超 過在該腔室中待處理之一基板的該暴露表面的面積之50%之範圍,該等氣體出口經定向使得靠近中心的氣體出口朝向該基板之一中央區域引導作用氣體,且遠離中心的氣體出口朝向該基板之一外部區域引導作用氣體。
- 如請求項1之腔室,其中該外部腔室體包括至少一通道,該至少一通道可操作以使冷卻流體流過其中以自該外部腔室體移除熱。
- 如請求項10之腔室,其進一步包含一回饋控制系統,其可操作以控制該冷卻流體流過該通道之流動速率。
- 如請求項1之腔室,其進一步包括一溫度調整系統,該溫度調整系統耦接至一或多個溫度感應器且提供對該內部腔室體的溫度之自動調整。
- 如請求項12之腔室,其中該溫度調整系統將該內部腔室體之該溫度維持於20℃至50℃、50℃至100℃、100℃至150℃、150℃至200℃、200℃至250℃、250℃至300℃或300℃至350℃之範圍內。
- 如請求項1之腔室,其中該內部腔室體藉由複數個槽及銷而定位於該外部腔室體上,其中該等槽中之每一者沿該內部腔室體之一徑向方向延伸、形成於該內部腔室體中且經組態以允許一相應銷沿其滑動,且其中該等銷緊固至該外部腔室體。
- 如請求項1之腔室,其中該腔室頂部包括至少一通道,該至少一通道可操作以使冷卻流體流過其中以自該腔室頂部移除熱能。
- 如請求項15之腔室,其進一步包含一回饋控制系統,其可操作以與一使冷卻流體循環系統通過該通道之溫控流體循環合作。
- 一種處理一半導體基板之方法,其包含:將一半導體基板支撐於如請求項1之真空腔室中;使用電漿源產生電漿;及以該電漿處理該半導體基板。
- 如請求項17之方法,其中該半導體基板為一晶圓,且該處理包含剝除該晶圓上的一光阻層之步驟。
- 如請求項17之方法,其中該半導體基板為一晶圓,且該處理包含後金屬蝕刻鈍化。
- 一種在請求項13之腔室中處理一半導體基板之方法,其中在電漿處理該半導體基板之一暴露表面期間,溫度調整系統將內部腔室體之溫度維持於20℃至50℃、50℃至100℃、100℃至150℃、150℃至200℃、200℃至250℃、250℃至300℃或300℃至350℃之範圍內。
- 如請求項20之方法,其中該半導體基板為一晶圓,且該電漿處理包含自該晶圓剝除一光阻層。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/528,275 US7476291B2 (en) | 2006-09-28 | 2006-09-28 | High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200823610A TW200823610A (en) | 2008-06-01 |
TWI428713B true TWI428713B (zh) | 2014-03-01 |
Family
ID=39260096
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW096135604A TWI428713B (zh) | 2006-09-28 | 2007-09-21 | 高腔室溫度製程及用於光阻剝除及後金屬蝕刻鈍化之腔室設計 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7476291B2 (zh) |
JP (1) | JP4995915B2 (zh) |
KR (1) | KR101411674B1 (zh) |
CN (1) | CN101523592B (zh) |
TW (1) | TWI428713B (zh) |
WO (1) | WO2008042091A1 (zh) |
Families Citing this family (421)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
US8298338B2 (en) * | 2007-12-26 | 2012-10-30 | Samsung Electronics Co., Ltd. | Chemical vapor deposition apparatus |
US8679288B2 (en) | 2008-06-09 | 2014-03-25 | Lam Research Corporation | Showerhead electrode assemblies for plasma processing apparatuses |
US8449679B2 (en) | 2008-08-15 | 2013-05-28 | Lam Research Corporation | Temperature controlled hot edge ring assembly |
US8043434B2 (en) | 2008-10-23 | 2011-10-25 | Lam Research Corporation | Method and apparatus for removing photoresist |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20110226280A1 (en) * | 2008-11-21 | 2011-09-22 | Axcelis Technologies, Inc. | Plasma mediated ashing processes |
US20100130017A1 (en) * | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
KR101190750B1 (ko) * | 2009-02-19 | 2012-10-12 | 엘지전자 주식회사 | 실리콘 박막 제조방법 및 제조장치 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
TW201511122A (zh) * | 2009-09-25 | 2015-03-16 | Applied Materials Inc | 用於感應耦合電漿反應器中的高效率氣體解離之方法及設備 |
US20110079580A1 (en) * | 2009-10-07 | 2011-04-07 | Texas Instruments Incorporated | Lower chamber heaters for improved etch processes |
WO2011066508A2 (en) * | 2009-11-30 | 2011-06-03 | Applied Materials, Inc. | Chamber for processing hard disk drive substrates |
WO2011146571A2 (en) | 2010-05-21 | 2011-11-24 | Applied Materials, Inc. | Tightly-fitted ceramic insulator on large-area electrode |
US8845806B2 (en) * | 2010-10-22 | 2014-09-30 | Asm Japan K.K. | Shower plate having different aperture dimensions and/or distributions |
US8927302B2 (en) * | 2010-12-20 | 2015-01-06 | Samsung Electronics Co., Ltd. | Chemical vapor deposition apparatus and method for manufacturing light-emitting devices using same |
US9129778B2 (en) * | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
TWI563552B (en) * | 2011-04-28 | 2016-12-21 | Lam Res Corp | Substantially non-oxidizing plasma treatment devices and processes |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US20120312234A1 (en) * | 2011-06-11 | 2012-12-13 | Tokyo Electron Limited | Process gas diffuser assembly for vapor deposition system |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
KR101234594B1 (ko) * | 2011-07-25 | 2013-02-19 | 피에스케이 주식회사 | 배플 및 이를 포함하는 기판 처리 장치 |
JP5686261B2 (ja) * | 2011-07-29 | 2015-03-18 | セメス株式会社SEMES CO., Ltd | 基板処理装置及び基板処理方法 |
CN103048377B (zh) * | 2011-10-17 | 2015-06-10 | 中国科学院化学研究所 | 极紫外(euv)光刻胶超高真空热处理检测装置与方法 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
CN102420120B (zh) * | 2011-11-04 | 2016-08-03 | 中国科学院微电子研究所 | 一种进气结构 |
KR20140092892A (ko) * | 2011-11-08 | 2014-07-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 증착 균일성을 위한 전구체 분배 피처들 |
JP5850236B2 (ja) * | 2012-01-20 | 2016-02-03 | アイシン精機株式会社 | カーボンナノチューブの製造装置及びカーボンナノチューブの製造方法 |
JP5436706B2 (ja) * | 2012-03-12 | 2014-03-05 | キヤノン株式会社 | 計測装置 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
CN103377979B (zh) * | 2012-04-30 | 2016-06-08 | 细美事有限公司 | 调节板和具有该调节板的用于处理基板的装置 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
KR102133373B1 (ko) | 2012-08-23 | 2020-07-13 | 어플라이드 머티어리얼스, 인코포레이티드 | Uv 챔버들을 세정하기 위한 방법 및 하드웨어 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8872138B2 (en) * | 2013-02-20 | 2014-10-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas delivery for uniform film properties at UV curing chamber |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
CN103646872A (zh) * | 2013-11-26 | 2014-03-19 | 上海华力微电子有限公司 | 一种去胶设备 |
WO2015084825A1 (en) * | 2013-12-02 | 2015-06-11 | Applied Materials, Inc. | Methods and apparatus for in-situ cleaning of a process chamber |
KR102335891B1 (ko) * | 2013-12-26 | 2021-12-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 유동성 막들의 광-보조 증착 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
JP6544902B2 (ja) * | 2014-09-18 | 2019-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9865437B2 (en) * | 2014-12-30 | 2018-01-09 | Applied Materials, Inc. | High conductance process kit |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11384432B2 (en) | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
JP2017073455A (ja) * | 2015-10-07 | 2017-04-13 | 東京エレクトロン株式会社 | 接合システム |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
JP6285411B2 (ja) * | 2015-12-25 | 2018-02-28 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
CN106935530B (zh) * | 2015-12-31 | 2020-04-17 | 中微半导体设备(上海)股份有限公司 | 一种等离子体刻蚀光刻胶装置 |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10483092B2 (en) * | 2016-04-13 | 2019-11-19 | Lam Research Corporation | Baffle plate and showerhead assemblies and corresponding manufacturing method |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
JP6746209B2 (ja) * | 2016-08-31 | 2020-08-26 | 株式会社ディスコ | プラズマエッチング装置 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
ES2662939A1 (es) * | 2017-09-13 | 2018-04-10 | Consorci Per A La Construcció, Equipament I Explotació Del Laboratori De Llum De Sincrotró | Dispositivo de deposición química de vapor remota asistida por plasma y método para producirlo |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11236422B2 (en) | 2017-11-17 | 2022-02-01 | Lam Research Corporation | Multi zone substrate support for ALD film property correction and tunability |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102709511B1 (ko) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202405221A (zh) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
KR20210019573A (ko) | 2018-07-05 | 2021-02-22 | 램 리써치 코포레이션 | 기판 프로세싱 시스템에서 기판 지지부의 동적 온도 제어 |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
CN109037103B (zh) * | 2018-07-23 | 2020-03-17 | 华进半导体封装先导技术研发中心有限公司 | 一种应用雾化法清洗晶圆表面的半导体设备与工艺 |
CN109037104B (zh) * | 2018-07-23 | 2020-04-14 | 华进半导体封装先导技术研发中心有限公司 | 半导体清洗设备及利用该设备清洗通孔的方法 |
CN109037105B (zh) * | 2018-07-23 | 2020-03-17 | 华进半导体封装先导技术研发中心有限公司 | 半导体清洗设备及利用该设备清洗助焊剂的方法 |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11183400B2 (en) | 2018-08-08 | 2021-11-23 | Lam Research Corporation | Progressive heating of components of substrate processing systems using TCR element-based heaters |
US10872747B2 (en) * | 2018-08-08 | 2020-12-22 | Lam Research Corporation | Controlling showerhead heating via resistive thermal measurements |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11355620B2 (en) * | 2018-10-31 | 2022-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET device and method of forming same |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
KR102620219B1 (ko) * | 2018-11-02 | 2024-01-02 | 삼성전자주식회사 | 기판 처리 방법 및 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
JP7497354B2 (ja) * | 2018-12-07 | 2024-06-10 | アプライド マテリアルズ インコーポレイテッド | 部品、部品を製造する方法、及び部品を洗浄する方法 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN111383889B (zh) * | 2018-12-27 | 2023-03-24 | 中微半导体设备(上海)股份有限公司 | 一种包含热相变材料的等离子处理腔 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
CN112079573A (zh) * | 2019-06-14 | 2020-12-15 | 江西沃格光电股份有限公司 | 蚀刻承载篮具 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR102277549B1 (ko) * | 2019-07-18 | 2021-07-15 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021111783A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
CN111599720A (zh) * | 2020-05-25 | 2020-08-28 | 上海华力集成电路制造有限公司 | 一种气体分配器 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
WO2022051079A1 (en) * | 2020-09-02 | 2022-03-10 | Applied Materials, Inc. | Showerhead design to control stray deposition |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US20220084845A1 (en) * | 2020-09-17 | 2022-03-17 | Applied Materials, Inc. | High conductance process kit |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
CN113488416B (zh) * | 2021-07-06 | 2022-10-21 | 华海清科股份有限公司 | 晶圆后处理设备及其应用的通风系统 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN114618852B (zh) * | 2022-05-17 | 2022-08-16 | 江苏浦贝智能科技有限公司 | 一种半导体加工用除胶机及除胶方法 |
JP7493556B2 (ja) | 2022-06-29 | 2024-05-31 | エドワーズ株式会社 | 真空ポンプ |
WO2024072668A1 (en) * | 2022-09-30 | 2024-04-04 | Lam Research Corporation | Dome shaped chamber for generating in-situ cleaning plasma |
CN118398471B (zh) * | 2024-06-28 | 2024-10-29 | 无锡邑文微电子科技股份有限公司 | 等离子体刻蚀设备 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS62123721A (ja) * | 1985-11-25 | 1987-06-05 | Hitachi Ltd | 処理装置 |
ES2078735T3 (es) * | 1991-05-21 | 1995-12-16 | Materials Research Corp | Modulo de grabado suave mediante util de agrupacion y generador de plasma ecr para el mismo. |
DE69529023T2 (de) * | 1994-02-03 | 2003-07-31 | Applied Materials, Inc. | Verfahren zur photolack-entfernung, passivierung und korrosionsinhibierung von halbleitenden scheiben |
JPH0878392A (ja) * | 1994-09-02 | 1996-03-22 | Mitsubishi Electric Corp | プラズマ処理装置及び半導体ウエハの成膜加工方法 |
US5643394A (en) | 1994-09-16 | 1997-07-01 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5922349A (en) * | 1995-09-28 | 1999-07-13 | Schering Aktiengesellschaft | Hormone replacement therapy method and hormone dispenser |
JP3050124B2 (ja) * | 1996-05-27 | 2000-06-12 | 住友金属工業株式会社 | プラズマ処理装置 |
JPH1022262A (ja) * | 1996-07-02 | 1998-01-23 | Hitachi Ltd | ドライエッチング方法 |
JP4049423B2 (ja) * | 1997-11-06 | 2008-02-20 | キヤノンアネルバ株式会社 | 成膜処理装置内の付着金属膜のクリーニング方法 |
US20020011215A1 (en) * | 1997-12-12 | 2002-01-31 | Goushu Tei | Plasma treatment apparatus and method of manufacturing optical parts using the same |
JP4124543B2 (ja) * | 1998-11-11 | 2008-07-23 | 東京エレクトロン株式会社 | 表面処理方法及びその装置 |
US6364954B2 (en) * | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
KR100302609B1 (ko) * | 1999-05-10 | 2001-09-13 | 김영환 | 온도가변 가스 분사 장치 |
US6565661B1 (en) * | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6635117B1 (en) | 2000-04-26 | 2003-10-21 | Axcelis Technologies, Inc. | Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system |
KR100717583B1 (ko) * | 2000-08-26 | 2007-05-15 | 주성엔지니어링(주) | Pecvd 장치 |
JP4232330B2 (ja) * | 2000-09-22 | 2009-03-04 | 東京エレクトロン株式会社 | 励起ガス形成装置、処理装置及び処理方法 |
JP2002164327A (ja) * | 2000-11-27 | 2002-06-07 | Seiko Epson Corp | 半導体製造装置用石英チャンバー |
JP2004296460A (ja) * | 2003-03-25 | 2004-10-21 | Hitachi Kokusai Electric Inc | プラズマ処理装置 |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
JP2005260060A (ja) * | 2004-03-12 | 2005-09-22 | Semiconductor Leading Edge Technologies Inc | レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置 |
US7358192B2 (en) * | 2004-04-08 | 2008-04-15 | Applied Materials, Inc. | Method and apparatus for in-situ film stack processing |
US20060118240A1 (en) * | 2004-12-03 | 2006-06-08 | Applied Science And Technology, Inc. | Methods and apparatus for downstream dissociation of gases |
US8298336B2 (en) | 2005-04-01 | 2012-10-30 | Lam Research Corporation | High strip rate downstream chamber |
-
2006
- 2006-09-28 US US11/528,275 patent/US7476291B2/en active Active
-
2007
- 2007-09-13 JP JP2009530359A patent/JP4995915B2/ja active Active
- 2007-09-13 CN CN2007800365314A patent/CN101523592B/zh active Active
- 2007-09-13 KR KR1020097008606A patent/KR101411674B1/ko active IP Right Grant
- 2007-09-13 WO PCT/US2007/019886 patent/WO2008042091A1/en active Application Filing
- 2007-09-21 TW TW096135604A patent/TWI428713B/zh active
Also Published As
Publication number | Publication date |
---|---|
US7476291B2 (en) | 2009-01-13 |
TW200823610A (en) | 2008-06-01 |
KR101411674B1 (ko) | 2014-06-25 |
KR20090080510A (ko) | 2009-07-24 |
CN101523592B (zh) | 2011-07-13 |
JP2010505265A (ja) | 2010-02-18 |
CN101523592A (zh) | 2009-09-02 |
WO2008042091A1 (en) | 2008-04-10 |
JP4995915B2 (ja) | 2012-08-08 |
US20080078744A1 (en) | 2008-04-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI428713B (zh) | 高腔室溫度製程及用於光阻剝除及後金屬蝕刻鈍化之腔室設計 | |
JP7401589B2 (ja) | 静電チャック接合のための永久二次浸食封じ込め | |
JP4352234B2 (ja) | リアクタ組立体および処理方法 | |
EP1706889B1 (en) | Gas distribution plate assembly for plasma reactors | |
KR100587629B1 (ko) | 기판 표면에 걸쳐서 층류의 가스 흐름을 제공하는 가스분배판 조립체 | |
EP1150330B1 (en) | Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system | |
US8444926B2 (en) | Processing chamber with heated chamber liner | |
TWI671851B (zh) | 用於寬範圍溫度控制的加熱器基座組件 | |
JPH1171680A (ja) | 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置 | |
WO2005112072A2 (en) | Segmented baffle plate assembly for a plasma processing system | |
KR101134328B1 (ko) | 유리?탄소를 제거하도록 처리된 반도체 기판 프로세싱장치의 탄화 규소 컴포넌트 | |
KR20160037120A (ko) | 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스 | |
CN114341398A (zh) | 温控吊灯型喷头 | |
KR101568363B1 (ko) | 기판 처리 장치 및 배플 | |
US20230203658A1 (en) | Split showerhead cooling plate | |
TW201814768A (zh) | 用於處理製程副產物的設備及用於確定收集器的更換期的方法 | |
US10173193B2 (en) | Ammonia radical generator | |
KR20100045246A (ko) | 고온 퍼니스 | |
KR20060012803A (ko) | 반도체 제조 설비 | |
KR20050053451A (ko) | 히터블럭의 급속냉각모듈을 갖는 반도체 제조장비 |