KR20040079993A - 반응기 어셈블리 및 처리 방법 - Google Patents

반응기 어셈블리 및 처리 방법 Download PDF

Info

Publication number
KR20040079993A
KR20040079993A KR10-2004-7012260A KR20047012260A KR20040079993A KR 20040079993 A KR20040079993 A KR 20040079993A KR 20047012260 A KR20047012260 A KR 20047012260A KR 20040079993 A KR20040079993 A KR 20040079993A
Authority
KR
South Korea
Prior art keywords
flow
process chamber
gas
assembly
substrate
Prior art date
Application number
KR10-2004-7012260A
Other languages
English (en)
Inventor
킨나르드데이비드
페리스데이비드
Original Assignee
액셀리스 테크놀로지스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 액셀리스 테크놀로지스, 인크. filed Critical 액셀리스 테크놀로지스, 인크.
Publication of KR20040079993A publication Critical patent/KR20040079993A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판을 처리하는 반응기 어셈블리(10) 및 처리 방법은 일반적으로, 베이스 유닛(14), 척 어셈블리(20), 공정실, 입구 매니폴드 어셈블리(60) 및 출구 매니폴드 어셈블리(80)를 포함한다. 입구 매니폴드 어셈블리는 공정실의 제1 개구와 유체 연결되어 있으며, 상기 입구 매니폴드 어셈블리는 상기 공정실 내로의 기스 및/또는 반응제 흐름을 측방향으로 신장시키도록 적응되는 플로우-셰이핑 부분(flow-shaping portion)를 포함한다. 공정실의 제2 개구와 유체 연결되는 배기 매니폴드 어셈블리는 입구 매니폴드 어셈블리와 정반대로 대향되어 있다. 이 공정은 기판 표면과 거의 평면인 방향으로 가스 및/또는 반응 종들을 반응기 어셈블리의 공정실 내로 흐르게하여, 균일성을 개선시키고 반응성을 증가시키는 것을 포함한다.

Description

반응기 어셈블리 및 처리 방법{REACTOR ASSEMBLY AND PROCESSING METHOD}
많은 반도체 제조 공정에서, 공정 가스(process gas)는 가스 분배기를 통해서 반응기 어셈블리의 공정실 내로 도입된다. 플라즈마는 가스로부터 형성되어, 기판상의 특징(features)을 에칭하며, 기판상에 재료층을 침착(deposit)시키는 등등을 행한다. 처리 중에 형성되는 가스 부산물은 배기 시스템을 통해서 공정실(process chamber)로부터 배기된다. 에칭 공정에서, 기판 전체에 걸쳐서 특징들의 형상 및 크기의 균일성은, 특히 에칭된 특징들의 크기 및 공간이 보다 작게될 때, 기판 전체에 걸쳐서 가스 종들의 분배에 의해 영향받는다. 유사하게, 침착 공정에서, 침착된 층의 두께 및 조성물은 기판 표면 전체에 걸쳐서 가스 종들의 분배에 따라서 기판의 표면 전체에 걸쳐서 가변될 수 있다.
축방향의 흐름 반응기 어셈블리는 종종, 기판 전체에 걸쳐서 가스 및/또는 반응 가스종들을 균일하게 분포시키는데 실패하여, 에칭된 특징들의 형상 및 크기의 변화를 초래한다. 축방향의 흐름 반응기 어셈블리는 통상적으로, 기판 위에 그리고 이와 동일축방향으로, 즉 처리될 기판의 바로 위에 위치된 축대칭 가스 입구를 포함한다. 결국, 가스 및/또는 반응제 흐름은 기판 표면과 수직으로 되어, 그 후 일반적으로 기판 표면을 가로지르는 반경 흐름 패턴(radial flow pattern)을 생성시킨다. 이는 기판 표면상에서의 처리로 부터의 유출이 인입 가스 흐름과 결합될 때, 가스 및/또는 반응제를 기판 표면에 노출시 흐름 변형 및 불균일성을 야기할 수 있다.
기판의 상류에 배치된 가스 분배 시스템은 불균일성을 최소화하도록 시도시 공정실과 함께 사용된다. 가스 분배 시스템은 통상적으로, 시행착오의 경험적 방법들에 의해 주로 설계되고 분석적으로 예측하기 곤란한 특수용 플레이트를 사용하는 것을 포함한다. 예를 들어, 기판 표면에서 가스 및/또는 반응 종 분배의 균일성을 개선시키도록 시도시 가스 입구에 근접하여 위치되는 특수용 배플 플레이트(baffle plates)가 통상적으로 사용된다. 그러나, 기판으로부터 상류에 배치된 가스 분배 플레이트 및/또는 배플 플레이트의 사용으로 인한 문제는 반응 종이 재결합할 수 있다는 것이다. 공정 가스의 흐름에 인접한 이들 플레이트에 의해 제공되는 부가적인 표면은 반응 종 재결합에 기여할 수 있는 큰 표면적을 제공한다. 반응 종 재결합은 공정의 전체 효율성을 감소시킴으로써, 공정 시간을 증가시키고 처리량을 감소시킨다. 반응 종 재결합을 피하기 위하여, 가스 입구에 또는 그 근처에 배치된 가스 분배 플레이트 및/또는 배플 플레이트는 석영, 알루미나, 세라믹, 하드 애노다이제이션(hard anodization)와 같은 비반응성 코팅을 지닌 특수용 알루미늄 합금 등과 같은 동작 환경에 특수용 불활성 재료를 사용하는 것을 요구한다. 이들 재료를 사용하면은 반응기 어셈블리의 전체 비용 및 복잡성을 증가시킨다. 게다가, 알루미늄 기반으로 한 합금과 같은 일부 특수용 합금은 통상적으로, 배플 플레이트 자체 내에 포함되거나 부가 장치로서 부가되는 특수용 냉각 모디피케이션(cooling modifications)을 사용하여, 배플 플레이트, 기판에 의한 가스 분배 플레이트 및/또는 고온 플라즈마 가스의 가열을 방지한다. 다음의 각 기판이 챔버 가열 장치, 즉 램프 어레이, 가열된 척, 등에 의해 가열되는 것이 아니라 배플 플레이트 내에 포함되는 에너지에 의해 가열되기 때문에, 배플 플레이트가 가열되면 공정실 내에서 기판 온도 제어를 상실시킬 수 있다. 배플 플레이트 및/또는 가스 분배 플레이트의 사용은 또한 공정실의 내부 부피를 증가시켜, 특히 냉각 장치 또는 냉각 처리가 사용되는 경우 외부 치수의 증가를 필요로 한다. 게다가, 증가된 부피는 반응 속도가 제한되지 않는 공정, 예를 들어, 벌크 포토레지스트 스트립핑 처리(bulk photoresist stripping process)시에 역작용을 일으킬 수 있는 가스 정주 시간(gas residence time)을 증가시켜, 처리 시간을 증가시킨다.
기판에 걸쳐서 가스의 분배는 또한, 공정실의 천정 또는 벽을 통해서 신장되는 다수의 노즐을 통해서 가스를 공급함으로써 개선될 수 있다. 그러나, 세라믹 벽 또는 세라믹 천정을 갖는 공정실은, 자신을 통해서 신장되는 노즐 피드스루(nozzle feedthroughs)와 함께 제조되는 것이 곤란하다. 산화 알루미늄 또는 실리콘과 같은 다결정 세라믹 재료의 세라믹 벽은 부서지기 쉬운 재료임으로, 파손없이 이와 달리 세라믹 손상없이 이들 재료에서 피드스루 홀을 가공하는 것이 곤란하다. 또한, 통상적으로 세라믹 벽에 인접하여 위치되는 RF 유도 코일과 같은 다른 구성요소들은반응기 어셈블리의 전체 크기(높이)를 증가시킴이 없이 세락믹 벽을 통해서 가스 노즐을 위치시키는 이용가능한 공간을 더욱 감소시킨다. 따라서, 챔버 벽을 통해서 가공될 지나치게 많은 피드스루를 필요로 하지 않고 반응기 가스 입구 및 기판 표면간에 가스 분배 메커니즘 및 냉각 하드웨어를 부가하지 않고도 공정실에서 균일하게 가스를 분배시키는 가스 분배 시스템을 갖는 공정실이 필요로 된다.
공정실 내로 가스룰 분배시키는 것은 배기 도관, 즉 가스 출구의 위치 및 대칭에 의해 영향받는다. 축대칭적으로 위치된 배기 도관은 기판 표면에 걸쳐서 가스의 흐름 속도를 비대칭으로 되게 하여, 불균일성을 야기시킨다. 게다가, 기판 직경이 300mm를 넘어서 증가될 때, 기판의 표면적 및 공정실의 부피의 상응하는 증가는 기판 전체 표면에 걸쳐서 공정 가스를 균일하게 분배시키는 것을 더욱 어렵게 한다.
가스 분배기의 일부가 금속으로부터 만들어지고 공정실 내에서 활성화된 플라즈마 시드(sheath) 내에 위치될 때 또 다른 문제가 발생된다. 금속 성분은 국부화된 에너지 섭동(perturbations)을 야기시키는데, 이것이 기판면에 걸쳐서 플라즈마 에너지를 변화시킨다. 게다가, 플라즈마 종은 종종, 금속을 화학적으로 부식시켜, 기판에 침착되는 오염 입자들을 형성한다. 예를 들어, 알루미늄 가스 분배기는 할로겐 함유하는 플라즈마에 의해 급속하게 부식된다. 따라서, 세라믹 코팅을 금속 표면에 부가함으로써 가스 분배기의 금속 부분을 부식으로부터 보호할 필요가 있음으로, 반응기 어셈블리의 비용 및 복잡성을 증가시킨다. 게다가, 보다 균일한 플라즈마 분배를 위하여 플레이트는 플라즈마로부터 전기 절연될 필요가 있다. 이 가스분배 방법은 이들 문제를 해결하는데 걸리는 엔지니어링 시간 및 재료 둘 다면에서 반응기에 상당한 비용을 부가시킬 수 있다.
본 발명은 기판을 처리하는 반응기 어셈블리에 관한 것이며, 특히, 반응기 어셈블리 내로 그리고 밖으로 가스 및/또는 반응제를 흐르게하는 분배 시스템(distribution system)에 관한 것이다.
도1은 베이스 유닛, 척 어셈블리, 공정실, 입구 매니폴드 어셈블리, 배기 매니폴드 어셈블리, 및 선택적인 광원 어셈블리를 도시한 전형적인 반응기 어셈블리의 부분 사시도.
도2는 반응기 어셈블리의 확대 사시도.
도3은 반응기 어셈블리의 상부 평면도.
도4는 베이스 유닛의 사시도.
도5는 척 어셈블리의 확대 사시도.
도6은 척 어셈블리의 상부 평면도.
도7은 도6의 A-A를 따른 척 어셈블리의 단면도.
도8은 지지체의 확대된 부분 단면도.
도9는 공정실의 사시도.
도10은 입구 매니폴드 어셈블리의 상부 평면도.
도11은 도10의 선 B-B를 따른 입구 매니폴드 어셈블리의 단면도.
도12는 도10의 선C-C를 따른 입구 매니폴드 어셈블리의 측면도.
도13은 배기 매니폴드 어셈블리의 확대 사시도.
도14는 배기 매니폴드 어셈블리의 상부 평면도.
도15는 흐름 억제기의 측면도.
도16는 흐름 억제기의 측면도.
도17은 흐름 억제기의 측면도.
도18은 흐름 억제기의 측면도.
도19는 상이한 크기의 튜브의 튜브 중심선으로부터의 반경 거리 및 희박 가스 압력의 함수로서 가스 속도를 도시한 그래프.
도20은 플라즈마 처리를 위한 웨이퍼 온도, 척 표면 온도, 공정실 압력 및 시간을 도시한 그래프.
반응기 어셈블리는 베이스 유닛; 상기 베이스 유닛의 캐버티에 배치되며, 기판을 수납할 수 있는 표면을 갖는 지지체를 포함하는 척 어셈블리; 최상부 벽, 최하부 벽 및 이로부터 신장되는 측벽을 포함하며, 상기 베이스 유닛에 결합되는 공정실; 상기 측벽들중 선택된 측벽에서 공정실의 제1 개구와 유체 연결되며, 상기 공정실 내로 가스 및/또는 반응제 흐름을 측방향으로 신장시키도록 적응되는 플로우-셰이핑 부분을 포함하는 입구 매니폴드 어셈블리; 및, 상기 측벽들중 선택된 한 측벽으로부터 완전히 대향되는 측벽에서 공정실의 제2 개구와 유체 연결되는 배기 매니폴드 어셈블리를 포함한다.
반응기 어셈블리에 사용하는데 적합한 입구 매니폴드 어셈블리는 원통형 형상의 도관을 포함하는 입구 단부 부분; 상기 입구 단부 부분과 유체 연결되는 제1 단부 개구 및 제2 단부 부분을 포함하는 플로우-셰이핑 부분을 포함하는데, 상기 플로우-셰이핑 부분의 폭은 제1 단부 개구로부터 제2 단부 개구로 증가되고 상기 플로우-셰이핑 부분을 통해서 상기 입구 단부 부분으로부터 흐르는 유체를 측방향으로 신장시키는데 효율적이다.
공정실을 통해서 가스 및/또는 반응 종들을 흐르게 하는 공정은 기판의 표면에 평행한 평면 주위에서 공정실 내로 가스 및/또는 반응 종들의 층류 흐름(laminar flow)을 측방향으로 신장시키고 상기 기판의 표면에 평행한 평면 주위에서 공정실 밖으로 가스 및/또는 반응 종들의 흐름을 배기시키는 것을 포함한다.
상술된 특징 및 이외 다른 특징들이 이하의 도면 및 상세한 설명에 의해 예시된다.
동일한 요소에 동일한 도면 번호가 병기된 전형적인 도면들을 참조하여 본 발명이 설명될 것이다.
기판 표면에 걸쳐서 가스 및/또는 반응 종에 균일하게 흐르게 하기 위한 반응기 어셈블리 및 처리 방법이 개시되어 있다. 가스 및/또는 반응 종들은 표면에 의해 한정된 평면에 실질적으로 평행한 층류 흐름 패턴으로 기판 표면에 걸쳐서 흐른다. 이로 인한 흐름 패턴은 기판 표면을 가스 및/또는 반응 종들에 균일하게 노출시킨다. 반응기 어셈블리는 종래 기술의 공정실과 비교하여 상대적으로 작은 공정실 부피를 사용하는데, 이를 사용하면 반응 종들의 정주 시간(residence time)을 감소시키고 반응 속도를 증가시킨다. 반응기 어셈블리 및 처리 방법은 플라즈마 애싱 또는 에칭 동작, CVD 등에 대해 사용될 수 있는데, 기판 표면에 걸쳐서 개선된 가스 및/또는 반응제 흐름 균일성이 바람직하게 된다. 플라즈마 애싱 및 에칭 장치들에 적용가능한 반응기 어셈블리는 다운스트림 처리 뿐만 아니라 ICP, CCP 및 다이오드 유형 에칭 공정에서 사용되는 처리에 사용되는 것들을 포함한다.
반응기 어셈블리에서 반응종들을 생성시키기 위하여 사용되는 가스의 조성물은 재료가 화학적 또는 물리적 증착에 의해 기판상으로 침착되는지에 좌우되는데, 이 재료는 기판으로부터 에칭되거나, 오염 침착물은 반응기 어셈블리 내에 배치된 공정실 벽 및 다른 구성요소들로부터 세정된다. 예를 들어, 기판상에 SiO2를 침착시키는 전형적인 가스는 SiH4또는 SiCl2와 같은 실리콘 소스 가스, H2, 및 O2와 같은 산소 소스 가스 또는 Si(OC2H5)4와 같은 실리콘 및 산소 둘 다를 함유하는 가스를 포함한다. 또 다른 예로서, Si3N4를 침착시키는 가스는 SiH4및 NH3또는 N2를 포함한다. 전형적인 에칭 가스는 BCl3, Cl2, HCl, SF6, CF4, 및 CHF3를 포함한다. 물리적인 증착 공정은 아르곤과 같은 비반응성 가스 또는 비반응성 및 반응성 가스의 혼합물을 사용한다. 반응기 어셈블리 및 처리 방법은 어떤 특정 가스 및/또는 반응종으로 제한되지는 않는다.
지금부터 도1 내지 도3을 참조하면, 기판(12)을 처리하는 전형적인 반응기 어셈블리(10)가 도시되어 있다. 반응기 어셈블리(10)는 일반적으로, 베이스 유닛(14), 척 어셈블리(20), 공정실(40), 입구 매니폴드 어셈블리(60), 및 배기 매니폴드 어셈블리(80)를 포함한다. 도1은 선택적인 광원 어셈블리(100)를 추가로 도시한다. 이들 구성요소들을 제조하는 재료는 금속, 세라믹, 유리, 중합체, 복합 재료및 상기 재료중 적어도 한 재료를 포함하는 배합물을 포함한다. 예를 들어, 적절한 금속은 양극처리된 알루미늄 및/또는 스테인레스 강철을 포함한다. 적절한 세라믹 재료는 실리콘 카바이드 또는 산화 알루미늄을 포함한다. 웨이퍼와 같은 기판(12)을 처리하기 위한 반응기 어셈블리(10)가 본원을 예시하기 위하여 사용되었지만, 반응기 어셈블리(10)는 또한, 당업자에게 명백한 바와 같이, 플랫 패널 디스플레이, 회로 기판, 액정 디스플레이 등과 같은 다른 기판을 처리하기 위하여 사용될 수 있다.
도4에 도시된 바와 같이, 베이스 유닛(14)은 최하부 벽(16) 및 이로부터 신장되는 측벽(17)을 포함하여 캐버티(18)를 형성한다. 일 실시예에서, 베이스 유닛(14)의 최하부 벽(16)은 기계적인 결합 수단, 예를 들어, 커넥터, 핀, 슬롯 등을 포함하여, 가령 수직 적층된 어셈블리에 사용하기 위하여 베이스 유닛(14)(및 반응기 어셈블리(10))을 제2 반응기 어셈블리에 부착시킨다.
도5 내지 도8은 척 어셈블리(20), 예를 들어, 정전 척 어셈블리, 중력 척 어셈블리 등을 도시한다. 당업자에게 공지된 바와 같이, 중력 척 어셈블리는 기판을 지지 표면에 고착시키는 수단으로서 중력을 사용하여, 기판의 중력 이외의 어떤 수단에 의해 기판이 지지 표면과 접촉하지 않도록 한다. 척 어셈블리(20)는 베이스 유닛(14)의 캐버티(18)에 배치된다. 척 어셈블리(20)는 일반적으로, 지지체(22), 환형 절연 링(24), 및 리프트 핀 어셈블리 메커니즘(25)을 포함한다. 환형 절연 링(24)은 베이스 유닛(14) 및 지지체(22)의 하부 표면간에 밀봉가능하게 배치된다.
지지체(22)는 평활 표면을 포함하는데, 이 표면상에 기판(12)이 공정중에 배치된다. 지지체(22)의 평활 표면은 선택적으로, 주변 핀(perimeter pins)(23)을 포함할 수 있다. 바람직한 실시예에서, 지지체(22)는 고정, 즉 비회전된다. 지지체(22)는 가스 전달 홀(26) 및 이 홀을 통해서 신장되는 경로(28)를 포함하여, 열 전달 가스, 예를 들어, 헬륨 등이 상기 홀(26) 및/또는 경로(28)를 통과하도록 하여 기판(12) 및 지지체(22)의 표면 간의 열 전달 속도를 증가시킨다. 홀(26) 및/또는 경로(28)는 또한 기판(12)의 배면에 진공을 제공하도록 사용되어, 가령 기판의 탄성 변형에 의해 기판(12)의 최하부 표면 및 지지체(22)의 표면간의 접촉점의 수를 증가시킨다. 진공 홀드 다운(vaccum hold down)이 사용되면, 진공으로 인한 기판(12) 및 지지체(22)의 표면간의 증가된 접촉점 수는 기판(12)이 공정 온도에 도달하는 속도를 증가시킨다. 이 경우에, 홀(26) 및/또는 경로(28)가 진공 라인(30)에 바람직하게 접속되면, 이 진공 라인은 공정실 절연 밸브, 흐름 제어 밸브 등(도시도지 않음)의 하류에 접속된다. 시간-대-공정 온도의 감소가 가령 벌크 포토레지스트 제거 공정에서 전체 처리 시간을 감소시키는데 유용한데, 그 이유는 반응 속도, 예를 들어, 에칭 속도가 전형적으로 공정 온도의 함수이기 때문이다.
경로(31)(도7)는 또한, 지지체(22)에서 가공 또는 주조되어, 냉각 시스템으로부터의 유체가 순환되어 기판(12)을 추가 제어하도록 한다. 이 방식으로, 유체는 경로(31)와 유체 연결되는 냉각 도관(32)을 통해서 순환된다. 저항 가열 소자(34)(도7)는 또한, 지지체(22) 내에서 주조되어, 벌크 포토레지스트 스트립 또는 에칭 공정을 수행할 때와 같이 도구 처리량을 증가시키기 위하여 사용될 수 있는 공정 온도를 상승시킨다. 지지체(22)가 기판(12)의 형상에 대응하는 형상을 갖는 것이바람직하고, 약 30°내지 약 300℃동작 범위에 있는 것이 바람직할 수 있다. 바람직한 실시예에서, 지지체(22)의 동작 온도는 가열 및 냉각 성능을 지닌 비례 적분 비분(PID) 제어기를 사용하는 폐루프 제어 시스템 또는 피드백을 통해서 바람직하게 가변될 수 있다. 이 제어기는 필요에 따라서 지지체(22) 내의 가열 소자(34)에 전류를 또는 경로(31)에 냉각 유체(공기 또는 물)를 교대로 공급한다. PID 제어기로의 피드백은 도8에 도시된 바와 같이 지지체(22)의 표면 내에 설치된 스프링 작용된 열결합부(35)와 같은 온도 측정 장치를 사용하여 공정 동안 기판(12)의 온도를 측정함으로써 제공된다. 스프링(33)은 열결합부(35)와 동작가능하게 연결되어, 열결합부(35)가 기판(12)의 배면과의 접촉을 유지하도록 한다. 대안적으로, 지지체(22)의 온도는 가열 소자(34)에 공급되는 전류를 조정하고 처리시에 적절한 지점에서 지지체(22) 내에서 주조되는 경로(31)를 통해서 유체(공기 또는 물)가 흐르도록 함으로써 개루프 공정(즉, 피드백 장치가 없다)으로 제어될 수 있다. 이들 방법은 전형적이지만, 기판(12)이 도20에 도시된 바와 같이 일정한 온도로 유지되도록 한다. 도20에서, 공정 동안 온도는 폐루프 제어 시스템을 사용하여 약 120℃로 유지된다. 지지체(22)는 공정 가스에 의한 부식에 내성이 있는 금속, 예를 들어 양극처리된 산화 알루미늄 코팅을 지닌 알루미늄으로 만들어지는 것이 바람직하다.
리프트 핀 메커니즘(25)은 지지체(22) 아래에 배치되고 처리 동안 리프트 핀 홀(36)을 통해서 리프트 핀(37)을 작동시키는 공기 실린더 등을 포함한다. 리프트 핀 메커니즘(25)은 일반적으로, 베이스 유닛(14)의 캐버티(18)로 한정되어, 바람직한 경우, 반응기 어셈블리(10)를 수직 적층시킨다. 리프트 핀 메커니즘(25) 뿐만아니라 반응기 어셈블리에 요구되는 어떤 다른 플럼빙(plumbin)을 동작시키는데 필요한 에어라인(airlines)이 베이스 유닛(14)의 측벽들중 선택된 측벽에 배치되는 것이 바람직하다.
공정실(40)은 베이스 유닛(14)에 결합된다. 도9에 명백하게 도시된 바와 같이, 공정실(40)은 최상부 벽(42) 및 이로 부터 신장되는 측벽(44)을 포함한다. 공정실(40)은 공정 가스, 반응제 및 반응 부산물을 포함하지만 이에 국한되지 않는 동작 환경에 대한 불활성 재료로부터 제조되는 것이 바람직하다. 바람직한 실시예에서, 최상부 벽(42)은 측벽으로부터 제거될 수 있다.
일 실시예에서, 최상부 벽(42)은 실질적으로 투과성 재료로부터 제조된다. 이 방식으로, 선택적인 광원 어셈블리(100)는 광, 예를 들어, 적외선, 자외선, 가시광선 등을 공정실(40)로 투사하는 최상부 벽(42) 위에 배치될 수 있다. 예를 들어, 자외선 광의 경우에, 최상부 벽(42)은 석영과 같은 재료로부터 선택되는 것이 바람직하고 실질적으로 약 100nm 내지 약 400nm, 보다 바람직하게는 약 130nm 내지 약 256nm의 자외선 광으로 투과된다.
공정실(40)의 측벽(44)은 다수의 개구를 갖는다. 하나의 개구(46)는 기판(12)을 공정실(40) 내로 그리고 밖으로 이송하는 치수로 된다. 개구(48, 50)는 또한, 후술되는 바와 같이, 입구 매니폴드 어셈블리(60) 및 배기 매니폴드 어셈블리(80) 각각과 유체 연결시키는 측벽과 완전히 대향되어 형성된다. 이와 같이, 기판을 공정실(40) 내로 그리고 밖으로 이송시키는 개구(46)는 개구(48, 50)를 포함하는 측벽에 인접한 측벽내에 있다. 다른 개구는 또한, 예를 들어 플라즈마 애싱 처리에서 엔드포인트 검출, 처리 동안 방사되는 가스종을 분석하는 질량 분광계 입구 등을 감시하기 위한 광학 포트와 같은 종래 기술에 공지된 용도를 위하여 측벽에 배치될 수 있다.
입구 매니폴드 어셈블리(60)는 공정실(40)의 측벽들중 선택된 한 측벽에 부착되고, 도10 내지 도12에 도시된 바와 같이, 플로우-셰이핑 부분(64)과 유체 연결되는 입구 단부 부분(62)을 포함하여 공정실(40)의 개구(48)를 통해서 가스 및/또는 반응제를 도입시킨다. 제거가능한 커버(65)(도11, 도12)는 플로우-셰이핑 부분(64)위에 배치된다. 선택적으로, 플로우-셰이핑 부분(64)은 하나 이상의 구성요소들로부터 형성될 수 있다. 입구 단부 부분(62)은 다른 형상들이 사용될 수 있지만, 원통형으로 형상화된 도관으로서 도시된다. 입구 단부 부분(62)은 플라즈마 발생기 등(도시되지 않음)으로부터 신장되는 도관의 방출구와 유체 연결되어, 가스 및/또는 반응제를 공정실(40)로 도입시킨다. 플로우-셰이핑 부분(64)은 입구 단부 부분(62)으로부터 측방향으로 바깥쪽으로 신장되고 공정실(40)과 유체 연결된다. 플로우-셰이핑 부분(64)은 다른 형상이 사용될 수 있지만, 삼각형 또는 팬-형상이 바람직하다. 일 실시예에서, 플로우-셰이핑 부분(64)에 의해 제공되는 유체 경로는 도11에 도시된 바와 같이 한 단부에서 입구 단부 부분(62)의 직경으로 한정된 개구 높이(H1)로부터 개구(68)에 의해 한정된 높이(H2)까지 점진적으로 테이퍼링 된다. 리세스(70)는 개구(68) 주위에서 바람직하게 형성되어, 선택적인 배플 플레이트(이의 적절한 예가 도15-18에 도시된다)를 설치한다. 이 방식으로, 가스 및/또는 반응제는 플로우-셰이핑 부분(64)에 의해 한정된 개구(68)를 통해서, 배플 플레이트를 통해서 공정실(40) 내로 흐를 수 있다. 바람직한 실시예에서, 입구 매니폴드 어셈블리(60)는 배플 플레이트를 포함하지 않는다.
플로우-셰이핑 부분(64)은, 입구 단부 부분(62)으로부터 공정실(40) 내로 흐를 때 가스 및/또는 반응제의 흐름을 측방향으로 신장시키는데 유효하다. 보다 상세하게 후술되는 바와 같이, 이로 인한 흐름 패턴이 기판 표면에 의해 한정된 평면과 거의 평행한 방향(즉 이 흐름은 기판 표면과 동일평면에 있다)에서 그리고 기판의 중심으로부터 에지로 유사한 가스 속도로 흘러, 처리 균일성을 개선시키고 반응성을 크게 증가시킨다는 것이 밝혀졌다.
바람직한 실시예에서, 플로우-셰이핑 부분(64)의 폭 중간점 위치는 기판(12)과 정렬되거나 동일평면이다. 다른 말로서, 도3에 도시된 바와 같이, 입구 매니폴드 플로우 셰이핑 부분(64)의 폭 중간점으로부터 배기 매니폴드 배기 수납 부분(82)의 폭 중간점까지 그려진 라인(Y)은 척 어셈블리(20)의 장축과 일치하는 것이 바람직하며, 또는, 대안적으로, 중심축(P)을 따라서 기판(12)을 이등분하는 것이 바람직하다. 플로우-셰이핑 부분(64)의 수직 중간점 위치는 공정실(40)의 최상부벽(42) 및 지지체(22)의 표면간의 거리의 중간점에 있는 것이 바람직하다.
입구 매니폴드 어셈블리(60)와 완전히 대향되며, 측벽(44)에 부착된 배기 매니폴드 어셈블리(80)는 도13 및 도14에 도시된 바와 같이 배기 수납 부분(82) 및 출구 단부 부분(84)을 포함한다. 배기 수납 부분(82)은 측벽(44) 내의 개구(50)와 유체 연결되어 출구 단부 부분(84)과 유체 연결되도록 측방향으로 안쪽으로 신장되어, 처리 동안 발생되는 어떤 부산물 뿐만 아니라 가스 및/또는 반응제의 흐름이 기판 표면(12)에 의해 한정된 평면과 거의 평행하게 흐르도록 한다. 배기 수납 부분(82)은 다른 형상이 사용될 수 있지만, 삼각형 형상이 바람직하다. 커버(83)는 배기 수납 부분(82) 위에 배치된다. 리세스(88)는 배기 수납 부분(82)의 개구(86) 주위에 배치되고, 흐름 억제기(90), 예를 들어 배플 플레이트을 수납하는 치수가 되도록 하는 것이 바람직하다. 다른 형상이 사용될 수 있지만, 출구 단부 부분(84)은 원통형 도관으로서 도시되는데, 즉 배기 도관의 형상은 통상적으로, 표준 진공 공정실내에 사용된다.
흐름 억제기(90)는 하나 이상의 경로(94)를 갖는 플레이트(92)를 포함하여, 배기 매니폴드 어셈블리(80)를 통한 가스, 반응제 및/또는 부산물의 흐름을 억제하는 것이 바람직하다. 전형적인 흐름 억제기(90)가 도15 내지 도18에 도시되어 있지만 이에 국한되지 않는다. 경로(94)는 다른 형상, 예를 들어, 슬롯, 타원형, 정방형 경로, 상술된 형상들중 적어도 한 형상을 포함한 조합 등이 사용될 수 있지만 다수의 원형 개구를 포함하는 것이 바람직하다. 소망의 응용에 따라서, 플레이트(92)는 평활하거나 어떤 곡면을 포함할 수 있다. 바람직한 실시예에서, 흐름 억제기(90)는 플레이트의 선택된 1/2에 형성되는, 즉, 플레이트의 높이 치수의 약 1/2 보다 작거나 같도록 한정된 영역에 배치된 경로(94)를 포함하는데, 플레이트의 길이 치수는 높이 치수(예를 들어, 도15) 보다 크다. 이는 흐름 억제기(90)가 기판의 평면 위에 지향되거나 대안적으로, 공정실(40)로부터의 유출이 기판의 평면 아래에서 방출되도록 180도 회전되고 설치되도록 경로(94)와 함께 설치된다. 보다 바람직한 실시예에서, 최저 경로 또는 경로의 로우(row)는 기판 표면과 동일 평면, 즉 기판 표면 평면에 또는 그 위에 있다. 이론적으로 제한되지 않지만, 흐름 억제기(90)는 다공성 멤브레인과 유사하게 기능하여 각 경로를 통한 가스 흐름 속도가 실질적으로 동일하게 되도록 한다.
가스, 반응제 및/또는 부산물이 흐름 억제기(90)를 사용하여 배기 매니폴드 어셈블리(80) 내로의 흐름을 억제함으로써, 공정실(40) 내부 및 배기 수납 부분82) 간에서 압력차를 발생시킨다. 이 압력차의 존재가 기판 표면에 걸쳐서 플라즈마의 전체 균일성을 개선시킨다는 것이 밝혀졌다. 흐름 억제기(90)에 걸쳐서 압력차는 바람직하게는 약 50 millitorr보다 크며, 보다 바람직하게는 약 300 millitorr 보다 크고, 보다 더 바람직하게는 약 500 millitorr 보다 크게된다.
흐름 억제기(90)가 공정실(40)로부터 하류에 사용되기 때문에, 즉 기판(12)과의 반응종의 반응 후에, 반응종 재결합과 관련된 문제들을 제거하는데 유용하게 된다. 흐름 억제기(90)가 하류에 위치되기 때문에, 흐름 억제기(90)는 양극처리된 알루미늄 등으로부터 제조될 수 있다. 양극처리된 알루미늄 등을 사용하면, 반응종 재결합을 방지하도록 사용되는 재료(상류스트림), 예를 들어, 석영, 알루미나, 세라믹, 하드 애노다이제이션과 같은 비반응성 코팅을 지닌 특수용 알루미늄 합금과 비교하여 상대적으로 값이 싸게 된다. 흐름 억제기(90)는 기계적으로 리세스(88)에 부착되는 것이 바람직하다.
반응기 어셈블리(10)의 동작 동안, 이송 로봇 엔드 이펙터(도시되지 않음) 등은 기판(12)을 개구(46)를 통해서 공정실(40) 내로 그리고 밖으로 이송하는데 사용된다. 로봇 엔드 이펙터는 기판(12)을 척 어셈블리(20)의 융기된 리프트 핀(37)상으로 배치한다. 그 후, 로봇 엔드 이펙터는 공정실(40)로부터 후퇴되고 나서 리프트 핀(37)은 낮추어 진다. 이 방식으로, 기판(12)은 지지체(22)와 접촉하여 배치된다. 일 실시예에서, 기판(12)이 지지 표면에 접근할 때, 즉 리프트 핀 메커니즘이 리프트 핀(37)을 후퇴시킬 때, 고 진공 밸브는 개방되어, 기판(12)의 배면을 지지체(22) 내의 홀(26) 및 경로(28)를 통해서 포라인(foreline) 압력으로 노출시킨다. 기판 이송동안 공정실(40)내의 압력에 따라서, 압력차가 기판(12)에 걸쳐서 생성되어 지지체(22)의 컨투어(contour)를 다소 탄성 변형시킨다. 이 방식으로, 기판(12) 및 지지체(22) 간의 열 접촉이 개선된다. 따라서, 기판 온도 램프 속도는 최대 약 20℃/초까지 증가되는 것이 바람직하다. 공정실(40)이 바람직한 공정 압력에 접근할 때, 기판(12)에 걸쳐서 압력차는 최소값으로 감소된다.
그 후, 가스 및/또는 반응제, 예를 들어, 플라즈마는 가령 마이크로파, RF 코일 플라즈마 도포기 등으로 인해 공정실 (40)로부터 상류에 있는 플라즈마 튜브 등에서 발생된다. 플라즈마는 입구 매니폴드 어셈블리(60)를 통해서 공정실(40)내로 방출된다. 대안적으로, 플라즈마는 ICP 또는 CCP 유형 처리를 위하여 적응되는 공정실에서 발생될 수 있다. 예를 들어, ICP 소스는 유도 코일과 같은 적절한 하드웨어를 포함할 수 있는데, 이는 공정실(40)의 최상부 벽(42)에 인접하여 설치된다. 평행 플레이트 유형 반응기의 경우에, 최상부 벽(42)은 상부 전극 어셈블리로 대체되고, 척 어셈블리(20)는 환형 절연 링(24)에 의해 반응기 어셈블리의 평형으로부터 전기적으로 그리고 열적으로 절연되는 하부 전극으로서 사용된다.
플라즈마는 점성 플로우로서 서술될 수 있는데, 그 결과, 플라즈마가 공정실(40) 내로 흐를 때, 포물선형 층류 흐름 패턴이 발생되도록 하며, 여기서 최고 가스 속도(Vmax)는 흐름 패턴의 최중심 부분에서 발견되고 최저 속도(V=0)는 흐름 패턴의 최외곽 부분에서 발견되는데, 즉 입구 매니폴드 어셈블리(60)의 입구 단부 부분(62)의 벽을 따라서 발견된다. 플라즈마가 입구 매니폴드 어셈블리(60)의 플로우-셰이핑 부분(64)에 입사될 때, 가스 중심선 속도는 도19에 도시된 바와 같이, 실질적으로 포물선형 층류 흐름 패턴을 유지하면서 감쇠하기 시작한다. 그 후, 플라즈마는 공정실(40) 내로 흐르고 기판 표면(12) 전체에 걸쳐서 흐른다. 그 후, 반응 유출은 입구 매니폴드 어셈블리(60)와 완전히 대향되는 배기 매니폴드 어셈블리(80)를 통해서 공정실(40)에서 배출됨으로써, 기판 표면(12)에 걸쳐서 일정한 층류 흐름을 제공한다. 층류 흐름에서 기판 단면을 따른 각 지점에서 속도, 압력 및 다른 유체 특성이 상대적으로 일정하게 유지된다는 것이 밝혀졌다. 이 방식으로, 가스 및/또는 반응종의 균일한 정주 시간은 기판 표면상에 유지된다. 게다가, 입구 매니폴드 어셈블리(60) 및 배기 매니폴드 어셈블리(80)가 대칭적으로 위치되기 때문에, 교차 흐름 균일성이 개선된다.
도19는 입구 매니폴드 어셈블리(60)의 상이한 크기의 입구 개구 부분(62)을 위한 가스 속도 프로필을 도시한다. 35밀리미터(mm)의 도관 직경을 갖는 입구 단부 부분(62)은 22mm의 도관 직경과 비교하여 기판에 걸쳐서 증가된 속도 균일성을 제공한다. 중심 대 에지 가스 속도 차는 보다 큰 튜브 직경 및 보다 낮은 공정 압력으로 인해 2.5 팩터만큼 감소된다. 이 결과는, 큰 가스 입구 개구들 및 낮은 압력들이 일반적으로 처리 불균일성을 감소시키는데 지원한다는 것을 나타낸다. 게다가, 저 공정 압력과 관련하여 흐름 억제기(90)를 사용하면, 공정실(40) 내에서 충실 효과(plenum effect)를 생성함으로써 중심선 가스 속도를 낮춘다. 이 조합이 공정실(40) 내에서 반응제의 공간 분배를 보다 양호하게 하고 흐름 억제기(90)에 의해 제공되는 경로에 도달시에 Y 방향(도3)에서 실질적으로 속도를 균일하게 한다. 0.8 torr 내지 1.5 torr 범위의 공정 압력 및 분당 2.5 내지 3.5 표준 리터의 질량 흐름 속도로 인해, 공정실(40)에서 정주 시간은 놀라울 정도로 낮게되어, 반응 표면으로부터 유출의 급속한 제거를 지원하고 흐름 억제 바로 직후 영역에서 반응제의 희석을 최소화한다.
반응기 어셈블리(10)는 어떤 특정한 반도체 처리 도구로 국한되지 않고 무선 주파수 에너지, 마이크로파 에너지 또는 다른 고주파수 에너지 소스를 개별적으로 또는 조합하여 사용하는 플라즈마-발생 도구에 적용되어, 플라즈마를 발생시킨다. 공정실(40) 내의 동작 압력은 바람직하게는 약 100 millitorr 내지 약 3torr이며, 보다 바람직하게는 약 500millitorr 내지 약 1.5torr이다. 게다가, 공정실(10)은 응용에 따라서 부가적인 특징들을 더 포함할 수 있다. 예를 들어, 석영 윈도우가 설치될 수 있고, UV 광원은 웨이퍼 근처에 배치될 수 있다. 이와 같은 비칼럼 광원은 벌크 스트립 응용시에 포토레지스트 제거를 향상시키기 위하여 도시된 UV 엑시머 레이저와 유사한 파장을 가질 수 있고, 마이크로파 플라즈마 발생된 반응성 가스들과 평행하게 사용될 수 있다. 게다가, 광원에 사전 및 사후 포토레지스트 스트립 노출은 또한, 잔여 제거 및 주입된 레지스트 제거 장점들을 제공할 수 있다. 오버헤드 RF 소스, 광학 포트, 가스 분석기, 부가적인 광원 등은 또한, 독립적으로 또는 조합하여 사용될 수 있으며, 이 공정실(40)은 극히 유연한 공정 플랫폼을 제공한다.
다음의 예들은 예시를 위하여 제공된 것이지 본원의 범위를 한정하고자 하는 것은 아니다.
실시예 1
이 예에서, 벌크 스트립 포토레지스트 제거 공정은 교차 흐름 반응기 어셈블리를 사용하는 플라즈마 애셔에 사용되고 동일한 응용을 위하여 구성된 종래의 축방향의 흐름 반응기 어셈블리와 비교된다. 기판들은 포토레지스트로 코팅되고 벌크 포토레지스트 제거 공정으로 노출된다. 축방향의 흐름 플라즈마 반응기 어셈블리는 GES 플라즈마 애셔 및 MCU 플라즈마 애셔를 포함하며, 이들 둘 다는 Axcelis Technologies Corpoartion으로부터 입수가능하다. 교차 흐름 반응기 어셈블리는 상술된 바와 같이 배기 매니폴드 어셈블리에 배치된 흐름 억제기를 사용하는 것을 포함한다. 사용된 흐름 억제기는 도15에 도시된 흐름 억제기와 유사한 원형 경로 형태를 갖는 평활 플레이트이다. 흐름 억제기는 공정실(40)의 최상부를 향하여 지향되는 경로 패턴을 지닌 배기 매니폴드 어셈블리(80)의 리세스(88)에 설치되는데, 원형 경로의 보다 낮은 로우는 기판 표면과 동일평면이 되도록 한다.
교차 흐름 반응기 어셈블리에 사용되는 공정은 1500와트 마이크로파 전력에서 1.5torr 압력으로 3200 sccm 산소, 300 sccm 성형 가스(질소내에서 5% 수소)를 흐르게하는 것을 포함한다. 270℃에서 포토레지스트 수축과 관련된 기여(contribution)는 상기 온도에서 애쉬 레이트로부터 감산된다. MCU 및 GES 반응기 어셈블리 둘 다를 위한 공정 방법은 최적의 수행성능을 제공하도록 최적화된다. 애싱 제거 속도는 표1에 도시되어 있다.
표 1
애싱 레이트 120℃(미크론/분) 애싱 레이트 270℃(미크론/분) 120℃에서 %공정 불균일성 270℃에서 % 공정 불균일성
교차 흐름 반응기 0.211 6.9 7.5 11.9
* 축방향의 흐름(GES 플라즈마 애셔) 0.117 2.8 6.0 3.0
* 축방향의 흐름(MCU 플라즈마 애셔) 0.123 2.0 11 12
* 비교 예들
교차 흐름 반응기 구성을 사용하는 벌크 스트립 포토레지스트 제거 공정에서, 이 결과들은 MCU 및 GES 축방향의 흐름 반응기들에 대해 증가된 애싱 레이트에 의해 입증된 바와 같이 포토레지스트와의 표면 반응에 이용가능한 활성 종의 수를 크게 증가시킨다는 것을 도시한다. 애싱 레이트는 동일한 공정 조건, 공정 가스, 포토레지스트, 및 기판 유형을 사용하는 축방향의 흐름 공정실 구성과 비교하여 약 2배 이상 크다. 교차 흐름 반응기에 대한 관찰된 반응 속도는 CF4와 같은 플루오르 공정 화학작용을 사용하여 축방향의 흐름 반응기에서 관찰되고 이외 다른 플루오르 함유 화합물은 일반적으로 반응 속도를 증가시키기 위하여 O2와 결합하여 사용된다. 교차 흐름 공정실 구성의 공정 균일성은 실질적으로 축방향의 흐름 반응기 어셈블리와 등가이다.
교차 흐름 공정실을 사용하면, 도20에 도시된 120℃ 애싱 공정의 경우에 웨이퍼 온도 프로필에 의해 입증되는 바와 같이 기판 온도를 제어하면서 종래의 축방향 흐름 반응기보다 반응이 보다 고속으로 진행되도록 한다. 챔버 부피가 교차 흐름 형태에 비해서 작기 때문에, 정주 시간은 최소화된다. 게다가, 가스 입구에서 배플 플레이트의 부족은 가스와의 접촉시 표면적을 감소시키고 웨이퍼의 상류의 압력 변화를 감소시킴으로써 활성 종의 재결합 영향을 감소시킨다. 다른 장점은 기생 웨이퍼 가열 제거 또는 축방향 흐름 반응기들에 장착된 배플 플레이트에서 통상 발견되는 소위 "제1 웨이퍼" 영향들; 종래의 축방향 흐름 반응기들 보다 높은 스트립 레이트; 보다 간단한 설계로 인한 낮은 제조 비용; 입구 가스 분배를 위하여 사용되는 코팅 및 석영 또는 알루미늄 합금의 제거; 및 감소된 크기 및 수직 높이로 인한 보다 작은 풋프린트(footprint)를 포함하지만 이에 국한되지 않는다. 반응기 어셈블리는 다용도이고 다양한 응용을 위하여 손쉽게 적응될 수 있다. 공정실의 제거가능한 최상부 벽은 보수, 세정, 등을 위하여 공정실의 내부에 손쉽게 액세스한다. 게다가, 투과성의 최상부 벽을 사용하면 사용자가 광원을 사용하게 한다. 이외에도, 상술된 바와 같이, 하나 이상의 반응기 어셈블리는 수직으로 적층됨으로써, 풋프린트에 대해 최소 충격을 가하면서 다수의 기판을 처리하도록 한다.
본 발명이 바람직한 실시예를 참조하여 서술되었지만, 당업자는 본 발명의 범위를 벗어남이 없이 각종 변형을 행할 수 있고 등가물로 대체할 수 있다는 것을 이해할 것이다. 게다가, 많은 변형들이 본 발명의 범위로부터 벗어남이 없이 본 발명의 개시 사항에 특정 상황 또는 재료가 부합되도록 할 수 있다. 그러므로, 본 발명을 최적으로 실행하도록 하는 서술된 특정 실시예로 본 발명이 제한되는 것이 아니라, 본 발명은 첨부된 청구범위의 영역내에 있는 모든 실시예들을 포함한다.

Claims (36)

  1. 반응기 어셈블리로서,
    베이스 유닛:
    상기 베이스 유닛의 캐버티에 배치되며, 기판을 수납할 수 있는 표면을 갖는 지지체를 포함하는 척 어셈블리;
    최상부 벽, 최하부 벽 및 이로부터 신장되는 측벽을 포함하며, 상기 베이스 유닛에 결합되는 공정실;
    상기 측벽들중 선택된 측벽에서 상기 공정실의 제1 개구와 유체 연결되며, 상기 공정실 내로 가스 및/또는 반응제 흐름을 측방향으로 신장시키도록 적응되는 플로우-셰이핑 부분을 포함하는 입구 매니폴드 어셈블리; 및,
    상기 측벽들중 선택된 한 측벽으로부터 완전히 대향되는 측벽에서 상기 공정실의 제2 개구와 유체 연결되는 배기 매니폴드 어셈블리를 포함하는 반응기 어셈블리.
  2. 제 1 항에 있어서,
    상기 입구 매니폴드 어셈블리의 상기 플로우-셰이핑 부분은 상기 기판의 표면과 평행한 평면 주위에서 상기 공정실로 가스 및/또는 반응제 흐름을 도입시키도록 적응되는 것을 특징으로 하는 반응기 어셈블리.
  3. 제 1 항에 있어서,
    상기 플로우-셰이핑 부분은 삼각형 형상인 것을 특징으로 하는 반응기 어셈블리.
  4. 제 1 항에 있어서,
    상기 공정실의 최상부 벽은 제거가능한 것을 특징으로 하는 반응기 어셈블리.
  5. 제 1 항에 있어서,
    상기 베이스 유닛의 최하부 벽은 제2 반응기 어셈블리에 적층가능하게 부착되도록 적응되는 것을 특징으로 하는 반응기 어셈블리.
  6. 제 1 항에 있어서,
    상기 배기 매니폴드 어셈블리는 상기 기판의 표면에 평행한 평면 주위에서 공정실로부터의 가스 및/또는 반응제 흐름을 수용하도록 적응되는 것을 특징으로 하는 반응기 어셈블리.
  7. 제 1 항에 있어서,
    상기 배기 매니폴드 어셈블리는 배기 수납 부분 및 흐름 억제기를 포함하며, 상기 흐름 억제기는 상기 배기 수납 부분의 개구에 부착되고 상기 공정실로부터 개구를 통해서 상기 배기 수납 부분으로 가스 및/또는 반응제 흐름을 억제하도록 적응되는 것을 특징으로 하는 반응기 어셈블리.
  8. 제 1 항에 있어서,
    상기 척 어셈블리의 지지체는 상기 기판의 온도를 조절하는 수단을 포함하는 것을 특징으로 하는 반응기 어셈블리.
  9. 제 1 항에 있어서,
    상기 지지체는 저항 가열 소자 및 냉각 경로를 더 포함하는 것을 특징으로 하는 반응기 어셈블리.
  10. 제 1 항에 있어서,
    상기 척 어셈블리의 지지체는 고정 및 비회전되는 것을 특징으로 하는 반응기 어셈블리.
  11. 제 1 항에 있어서,
    상기 입구 매니폴드 어셈블리는 상기 흐름-셰이핑 부분의 개구에 부착되는 흐름 억제기를 더 포함하는 것을 특징으로 하는 반응기 어셈블리.
  12. 제 1 항에 있어서,
    상기 최상부 벽은 광원을 실질적으로 투과시키는 것을 특징으로 하는 반응기 어셈블리.
  13. 제 1 항에 있어서,
    상기 최상부 벽은 UV 광원을 실질적으로 투과시키는 것을 특징으로 하는 반응기 어셈블리.
  14. 제 1 항에 있어서,
    상기 최상부 벽은 적외선 광원을 실질적으로 투과시키는 것을 특징으로 하는 반응기 어셈블리.
  15. 제 1 항에 있어서,
    상기 공정실은 제1 및 제2 개구의 측벽에 인접한 측벽에서 제3 개구를 포함하며, 상기 제3 개구는 상기 기판을 상기 공정실의 내부 영역으로 운반하는 크기인 것을 특징으로 하는 반응기 어셈블리.
  16. 제 1 항에 있어서,
    상기 플로우-셰이핑 부분의 개구 주위에 배치되는 배플 플레이트를 더 포함하는 것을 특징으로 하는 반응기 어셈블리.
  17. 제 7 항에 있어서,
    상기 배기 수납 부분은 삼각형 형상인 것을 특징으로 하는 반응기 어셈블리.
  18. 제 7 항에 있어서,
    상기 흐름 억제기는 하나 이상의 경로를 갖는 플레이트를 포함하는 것을 특징으로 하는 반응기 어셈블리.
  19. 제 7 항에 있어서,
    상기 흐름 억제기는 높이 치수 보다 큰 길이 치수를 갖는 직사각형 형상의 플레이트를 포함하며, 상기 경로는 상기 높이 치수의 약 1/2 보다 작거나 같은 영역에 배치되는 것을 특징으로 하는 반응기 어셈블리.
  20. 제 7 항에 있어서,
    상기 흐름 억제기는 양극처리된 알루미늄을 포함하는 것을 특징으로 하는 반응기 어셈블리.
  21. 제 1 항에 있어서,
    상기 입구 매니폴드 어셈블리는 상기 기판의 표면과 평행한 평면 주위에서 가스 및/또는 반응제를 도입시키도록 적응되고, 상기 배기 매니폴드 어셈블리는 상기 기판의 표면에 평행한 평면 주위에서 가스 및/또는 반응제를 배기시키도록 적응되는 것을 특징으로 하는 반응기 어셈블리.
  22. 공정실을 통해서 가스 및/또는 반응 종을 흐르게 하는 공정으로서,
    기판의 표면에 평행한 평면 주위에서 상기 공정실 내로 상기 가스 및/또는 반응 종의 층류 흐름을 측방향으로 신장시키는 단계; 및,
    상기 기판의 표면에 평행한 평면 주위에서 상기 공정실 밖으로 상기 가스 및/또는 반응종의 흐름을 배기시키는 단계를 포함하는 가스 및/또는 반응 종을 흐르게 하는 공정.
  23. 제 22 항에 있어서,
    상기 가스 및/또는 반응 종의 흐름을 배기시키는 단계는 상기 흐름을 억제하고 상기 공정실의 내부 및 배기 수납 부분의 내부 간에서 압력차를 생성시키는 단계를 더 포함하는 것을 특징으로 하는 가스 및/또는 반응 종을 흐르게 하는 공정.
  24. 제 22 항에 있어서,
    상기 가스 및/또는 반응종의 흐름을 배기시키는 단계는 상기 흐름을 억제하는 단계 및 상기 공정실의 내부 및 배기 수납 부분의 내부 간에서 적어도 약 50 millitorr의 압력차를 생성시키는 단계를 더 포함하는 것을 특징으로 하는 가스 및/또는 반응 종을 흐르게 하는 공정.
  25. 제 22 항에 있어서,
    상기 가스 및/또는 반응종의 흐름을 배기시키는 단계는 상기 흐름을 억제하는 단계 및 상기 공정실의 내부 및 배기 수납 부분의 내부 간에서 적어도 약 300 millitorr의 압력차를 생성시키는 단계를 더 포함하는 것을 특징으로 하는 가스 및/또는 반응 종을 흐르게 하는 공정.
  26. 제 22 항에 있어서,
    상기 가스 및/또는 반응종의 흐름을 배기시키는 단계는 상기 흐름을 억제하는 단계 및 상기 공정실의 내부 및 배기 수납 부분의 내부 간에서 적어도 약 500 millitorr의 압력차를 생성시키는 단계를 더 포함하는 것을 특징으로 하는 가스 및/또는 반응 종을 흐르게 하는 공정.
  27. 제 22 항에 있어서,
    약 100 millitorr 내지 약 3 torr의 압력에서 상기 공정실을 동작시키는 단계를 더 포함하는 것을 특징으로 하는 가스 및/또는 반응 종을 흐르게 하는 공정.
  28. 제 22 항에 있어서,
    상기 층류를 측방향으로 신장시키는 단계는 중심 영역 흐름 속도 및 외부 영역 흐름 속도 간의 흐름 속도 차를 최소화하는 단계를 포함하는 것을 특징으로 하는 가스 및/또는 반응 종을 흐르게 하는 공정.
  29. 입구 매니폴드 어셈블리로서,
    원통형 형상의 도관을 포함하는 입구 단부 부분; 및,
    상기 입구 단부 부분과 유체 연결되는 제1 단부 부분 및 제2 단부 개구를 포함하는 플로우-셰이핑 부분을 포함하며, 상기 플로우-셰이핑 부분의 폭은 상기 제1 단부 개구로부터 상기 제2 단부 개구로 증가되고 상기 입구 단부 부분으로부터 상기 플로우-셰이핑 부분을 통해서 흐르는 유체를 측방향으로 신장시키도록 하는데 유효한 입구 매니폴드 어셈블리.
  30. 제 29 항에 있어서,
    상기 흐름-셰이핑 부분은 상기 제1 단부 개구로부터 상기 제2 단부 개구로 감소되는 높이 치수를 포함하는 것을 특징으로 하는 입구 매니폴드 어셈블리.
  31. 제 29 항에 있어서,
    상기 제2 개구 주위의 리세스에 부착되는 배플 플레이트를 더 포함하는 것을 특징으로 하는 입구 매니폴드 어셈블리.
  32. 반응기 어셈블리로서,
    베이스 유닛;
    상기 베이스 유닛의 캐버티에 배치되며, 기판을 수납할 수 있는 표면을 갖는지지체를 포함하는 척 어셈블리;
    투과성 최상부 벽, 최하부 벽 및 이로부터 신장되는 측벽을 포함하며, 상기 베이스 유닛에 결합되는 공정실;
    상기 공정실 내로 방사선을 투사하기 위하여 상기 투과성 최상부 벽과 동작가능하게 연결되는 광원 어셈블리;
    상기 측벽들중 선택된 측벽에서 상기 공정실의 제1 개구와 유체 연결되며, 상기 공정실 내로 가스 및/또는 반응제 흐름을 측방향으로 신장시키도록 적응되는 플로우-셰이핑 부분을 포함하는 입구 매니폴드 어셈블리; 및,
    상기 측벽들중 선택된 한 측벽으로부터 완전히 대향되는 측벽에서 상기 공정실의 제2 개구와 유체 연결되는 배기 매니폴드 어셈블리를 포함하는 반응기 어셈블리.
  33. 제 32 항에 있어서,
    방사선을 방출시키도록 적응되는 상기 광원 어셈블리는 하우징 및 광원을 포함하는 것을 특징으로 하는 반응기 어셈블리.
  34. 제 32 항에 있어서,
    상기 최상부 벽은 석영 재료를 포함하는 것을 특징으로 하는 반응기 어셈블리.
  35. 제 32 항에 있어서,
    상기 배기 매니폴드 어셈블리는 상기 기판의 표면에 평행한 평면 주위에서 상기 공정실로부터 상기 가스 및/또는 반응제 흐름을 수용하도록 적응되는 것을 특징으로 하는 반응기 어셈블리.
  36. 제 32 항에 있어서,
    상기 투과성 최상부 벽은 제거가능한 것을 특징으로 하는 반응기 어셈블리.
KR10-2004-7012260A 2002-02-08 2003-02-10 반응기 어셈블리 및 처리 방법 KR20040079993A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/071,908 2002-02-08
US10/071,908 US7163587B2 (en) 2002-02-08 2002-02-08 Reactor assembly and processing method
PCT/US2003/003998 WO2003067635A2 (en) 2002-02-08 2003-02-10 Reactor assembly and processing method

Publications (1)

Publication Number Publication Date
KR20040079993A true KR20040079993A (ko) 2004-09-16

Family

ID=27659351

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7012260A KR20040079993A (ko) 2002-02-08 2003-02-10 반응기 어셈블리 및 처리 방법

Country Status (8)

Country Link
US (1) US7163587B2 (ko)
EP (1) EP1472719A2 (ko)
JP (1) JP4352234B2 (ko)
KR (1) KR20040079993A (ko)
CN (1) CN1628368A (ko)
AU (1) AU2003213000A1 (ko)
TW (1) TWI262556B (ko)
WO (1) WO2003067635A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180132123A (ko) * 2016-04-05 2018-12-11 아익스트론 에스이 Cvd 반응기의 배기 매니폴드

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7821655B2 (en) * 2004-02-09 2010-10-26 Axcelis Technologies, Inc. In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction
KR101463581B1 (ko) 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8398816B1 (en) * 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
KR100757356B1 (ko) * 2006-08-03 2007-09-11 주식회사 에스에프에이 화학 기상 증착장치
DE102007002415B4 (de) * 2007-01-17 2011-04-28 Atlas Material Testing Technology Gmbh Vorrichtung zur Licht- oder Bewitterungsprüfung enthaltend ein Probengehäuse mit integriertem UV-Strahlungsfilter
US20080296258A1 (en) * 2007-02-08 2008-12-04 Elliott David J Plenum reactor system
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
WO2010005932A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
TWI475592B (zh) 2008-07-07 2015-03-01 Lam Res Corp 用來偵測電漿處理腔室中之電漿不穩定性的被動電容耦合靜電探針裝置
US8603243B2 (en) * 2008-07-31 2013-12-10 The United States Of America, As Represented By The Secretary Of The Navy Tracking carbon to silicon ratio in situ during silicon carbide growth
WO2010030718A2 (en) * 2008-09-11 2010-03-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5410348B2 (ja) * 2010-03-26 2014-02-05 株式会社豊田中央研究所 表面処理装置
FR2957938B1 (fr) * 2010-03-29 2012-10-05 Koolerheadz Dispositif d'injection de gaz avec vitesse de gaz uniforme
WO2011121508A1 (en) 2010-03-29 2011-10-06 Koolerheadz Modular gas injection device
FR2957939B1 (fr) * 2010-03-29 2012-08-17 Koolerheadz Dispositif d'injection de gaz modulaire
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5602903B2 (ja) 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
CN105164309B (zh) * 2013-05-01 2019-04-12 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
US9028765B2 (en) * 2013-08-23 2015-05-12 Lam Research Corporation Exhaust flow spreading baffle-riser to optimize remote plasma window clean
JP6198584B2 (ja) * 2013-11-21 2017-09-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
JP6309252B2 (ja) * 2013-11-21 2018-04-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
CN106544646B (zh) * 2015-09-18 2019-02-01 沈阳拓荆科技有限公司 一种原子层沉积设备
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US11639865B2 (en) 2019-08-05 2023-05-02 Ichor Systems, Inc. Laminar flow restrictor
US10446420B2 (en) 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11486038B2 (en) 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11841036B2 (en) 2019-08-05 2023-12-12 Ichor Systems, Inc. Laminar flow restrictor and seal for same
CN111455458B (zh) * 2019-09-18 2021-11-16 北京北方华创微电子装备有限公司 外延装置及应用于外延装置的进气结构
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
EP4280987A1 (en) * 2021-01-23 2023-11-29 Sheperak, Thomas J. Plasma gas generator
CN114959650B (zh) * 2022-05-18 2023-10-20 江苏微导纳米科技股份有限公司 一种半导体装置
US20230395356A1 (en) * 2022-06-07 2023-12-07 Applied Materials, Inc. Plasma chamber with gas cross-flow, microwave resonators and a rotatable pedestal for multiphase cyclic deposition

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5261960A (en) * 1987-06-24 1993-11-16 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US5244694A (en) * 1987-06-24 1993-09-14 Advanced Semiconductor Materials America, Inc. Apparatus for improving the reactant gas flow in a reaction chamber
US5044315A (en) * 1987-06-24 1991-09-03 Epsilon Technology, Inc. Apparatus for improving the reactant gas flow in a reaction chamber
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
JP2592511B2 (ja) * 1988-12-03 1997-03-19 株式会社フレンドテック研究所 縦型半導体製造システム
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
FR2661554A1 (fr) * 1990-04-30 1991-10-31 Philips Electronique Lab Dispositif d'introduction des gaz dans la chambre d'un reacteur d'epitaxie, chambre de reacteur comportant un tel dispositif d'introduction de gaz, et utilisation d'une telle chambre pour la realisation de couches semiconductrices.
US5190592A (en) * 1990-05-02 1993-03-02 Commissariat A L'energie Atomique Aerosol injection system for producing composite layers by pyrolysis
WO1992005577A1 (fr) * 1990-09-21 1992-04-02 Fujitsu Limited Procede et appareil pour former par croissance des cristaux de composes semi-conducteurs
JP3057330B2 (ja) * 1991-09-27 2000-06-26 コマツ電子金属株式会社 ガス導入装置、エピタキシャル成長装置およびエピタキシャル成長方法
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP2875458B2 (ja) * 1993-07-16 1999-03-31 大日本スクリーン製造株式会社 基板の熱処理装置
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
JP3008782B2 (ja) * 1994-07-15 2000-02-14 信越半導体株式会社 気相成長方法およびその装置
US5685906A (en) * 1995-03-23 1997-11-11 Seh America, Inc. Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
EP1029109B1 (en) * 1997-11-03 2007-09-26 ASM America, Inc. Long life high temperature process chamber
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6583638B2 (en) * 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
US6355108B1 (en) * 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180132123A (ko) * 2016-04-05 2018-12-11 아익스트론 에스이 Cvd 반응기의 배기 매니폴드

Also Published As

Publication number Publication date
JP4352234B2 (ja) 2009-10-28
TW200308012A (en) 2003-12-16
CN1628368A (zh) 2005-06-15
AU2003213000A1 (en) 2003-09-02
US7163587B2 (en) 2007-01-16
TWI262556B (en) 2006-09-21
US20030150560A1 (en) 2003-08-14
WO2003067635A2 (en) 2003-08-14
JP2005517295A (ja) 2005-06-09
WO2003067635A3 (en) 2003-11-27
EP1472719A2 (en) 2004-11-03
AU2003213000A8 (en) 2003-09-02

Similar Documents

Publication Publication Date Title
KR20040079993A (ko) 반응기 어셈블리 및 처리 방법
US11735441B2 (en) Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) Systems and methods for improved semiconductor etching and component protection
US6257168B1 (en) Elevated stationary uniformity ring design
KR20200028041A (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
US6514347B2 (en) Apparatus and method for plasma treatment
JP6046128B2 (ja) 誘導結合プラズマ(icp)リアクタ用動的イオンラジカルシーブ及びイオンラジカルアパーチャ
KR100382784B1 (ko) 반도체 기판의 양측 에칭을 위한 시스템 및 방법
US20070128876A1 (en) Chamber dry cleaning
US20100099263A1 (en) Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
KR20060096460A (ko) 배기 개구를 특징으로 하는 가스 분배 샤워헤드
TWI671816B (zh) 負載鎖定整合斜面蝕刻器系統
KR20080033406A (ko) 반도체 처리용 증착 장치
US20230402261A1 (en) Uniform in situ cleaning and deposition
WO2018208645A1 (en) Bevel etch profile control
US20210305015A1 (en) Substrate processing apparatus
KR20230024385A (ko) 반도체 프로세싱 챔버를 위한 비대칭 배기 펌핑 플레이트 설계
CN113838730A (zh) 气体遮挡环、等离子体处理装置及调控聚合物分布的方法
US20220165567A1 (en) Systems and methods for deposition residue control
US20010009177A1 (en) Systems and methods for two-sided etch of a semiconductor substrate
TW202324636A (zh) 下游殘留物管理硬體

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application