CN1628368A - 反应器组件和处理方法 - Google Patents

反应器组件和处理方法 Download PDF

Info

Publication number
CN1628368A
CN1628368A CNA038034239A CN03803423A CN1628368A CN 1628368 A CN1628368 A CN 1628368A CN A038034239 A CNA038034239 A CN A038034239A CN 03803423 A CN03803423 A CN 03803423A CN 1628368 A CN1628368 A CN 1628368A
Authority
CN
China
Prior art keywords
process chamber
reactor assemblies
gas
manifold assembly
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA038034239A
Other languages
English (en)
Inventor
D·金纳德
D·费里斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN1628368A publication Critical patent/CN1628368A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种反应器组件和用于处理衬底的处理方法包括基座单元、卡盘组件、入口歧管组件和排气歧管组件。入口歧管组件与处理室的第一开口是流体连通的,其中入口歧管组件包括适于横向拉长进入处理室的气体和/或反应物流的流体成形部分。排气歧管组件与处理室的第二开口是流体连通的并与入口歧管组件径向相对。该处理方法包括在与衬底表面大致共面的方向使气体和/或反应物流进反应器组件的处理室,提供提高的均匀性和增加的反应性。

Description

反应器组件和处理方法
技术领域
本发明涉及一种用于处理衬底的反应器组件,特别涉及一种使气体和/或反应物流进和流出反应器组件的分配系统。
背景技术
在很多半导体制造工艺中,通过气体分配器将处理气体引入到反应器组件的处理室中。可以由该气体形成等离子体,以便蚀刻衬底上的特征结构、在衬底上垫积材料层等。在处理期间产生的气态副产物经排气系统从处理室排出。在蚀刻工艺中,整个衬底上的特征结构的形状和尺寸的非均匀性受到气体物质在衬底上的分布的影响,特别是当被蚀刻的特征结构的尺寸和间隔很小时。相似地,在淀积工艺期间,淀积的层的厚度和成分在整个衬底表面上是变化的,这取决于气体物质在衬底表面上的分布。
轴向流反应器组件通常在衬底上不能提供气体和/或反应气体物质的均匀分布,这导致被蚀刻的特征结构的形状和尺寸、淀积层的厚度等的变化。轴向流反应器组件通常包括位于上部并与衬底同轴的轴对称气体入口,即直接位于被处理衬底的上面。因而,气体和/或反应流垂直于衬底表面,这产生一般横向于衬底表面的随后产生的径向流图形。在气体和/或反应物暴露于衬底表面时,由于来自衬底表面的处理的流出物与进入气体流组合,这可能导致流动异常和非均匀性。
在试图使非均匀性最小化时,已经采用了具有处理室的位于衬底上游的气体分配系统。气体分配系统通常包括使用专用板,这些专用板难以通过试验和误差实验法分析性地预测和大量地设计。例如,已经使用专用挡板并且通常靠近气体入口设置,以便尝试着提高在衬底表面的气体和/或反应物质分配的均匀性。然而,使用设置在衬底上游的挡板和/或气体分配板的一个问题是存在反应物质再化合的潜在问题。由与处理气体流相邻的这些板提供的附加表面提供大表面面积,这有助于反应物质再化合。反应物质的再化合可能降低处理的总效率,由此增加了处理时间和降低了产量。为了避免反应物质再化合,设置在气体入口处或其附近的挡板和/或气体分配板需要使用对工作环境呈现惰性的物质材料,如石英、氧化铝、其它陶瓷、具有非反应涂层如硬阳极处理的专用铝合金等。使用这些材料使总成本增加并且增加了反应器组件的复杂性。而且,有些特殊合金如铝基合金通常使用结合在挡板本身内或作为附加器件增加的专门冷却改性部件,以便防止挡板、气体分配板受到衬底和/或高温等离子气体的加热。挡板的加热可能引起处理室内的衬底温度控制的损失,因为现在由挡板内含有的能量而不是由室加热器件即灯阵列、受热卡盘等加热每个后来的衬底。使用挡板和/或气体分配板也增加了处理室的内部容积,需要增加外部尺寸,特别是如果采用冷却装置或冷却工艺。此外,增加的容积增加了气体停留时间,这在没有反应率限制的工艺中是反生产的,如整体光致抗蚀剂剥离工艺,导致处理时间增加。
衬底上的气体分配还可以通过经多个喷嘴输送气体来提高,其中所述多个喷嘴穿过处理室的顶板或壁延伸。然而,具有陶瓷壁或陶瓷顶板的处理室难以制造成具有从其延伸的喷嘴通孔。多晶陶瓷材料的陶瓷壁,如氧化铝或硅,是易碎材料并且难以在不破坏或损伤陶瓷的情况下在这些材料中加工通孔。而且,在不增加反应器组件的总尺寸(高度)的情况下,其它部件,如通常与陶瓷壁相邻设置的RF感应线圈进一步减小了用于通过陶瓷壁设置气体喷嘴的可获得空间。因此,需要一种具有气体分配系统的处理室,在不需要通过室壁加工的额外数量的通孔或不增加气体分配机构和冷却硬件的情况下提供在处理室内的均匀气体分配,其中上述气体分配机构和冷却硬件如果存在的话,位于反应气体入口和衬底表面之间。
向处理室内分配气体还受到排气导管如气体出口的位置和对称性的影响。轴对称设置的排气导管可能导致在衬底表面上的气体的非对称流速,产生非均匀性问题。此外,随着衬底的直径增加到300nm和以外,处理室的体积和衬底的表面面积的相应增加使得更难以在衬底的整个表面上提供均匀的处理气体分布。
当一部分气体分配器由金属制成并位于处理室中的被通电的等离子体外壳内时将出现另一问题。该金属部件产生局部能量混乱,导致衬底表面上的等离子体能量改变。此外,等离子体物质通常化学地侵蚀金属,形成污染颗粒,这些污染颗粒淀积在衬底上。例如,铝气体分配器快速受到含卤素等离子体的侵蚀。因此,一般要求通过给金属表面增加陶瓷涂层来保护气体分配器的金属部分不受侵蚀,由此增加了反应器组件的成本和复杂性。此外,板必须与等离子体电绝缘,以便提供更均匀的等离子体分布。这种气体分配方法可能相当大地增加了两种材料中的反应器的成本和解决这些问题所需的工程时间。
发明内容
一种反应器组件包括:基座单元;设置在基座单元的腔内的卡盘组件,其中卡盘组件包括具有能接收衬底的表面的支架;包括顶壁、底壁和从其延伸的侧壁的处理室,其中处理室耦合到基座单元;与被选择的一个侧壁中的处理室的第一开口流体连通的入口歧管组件,其中入口歧管组件包括适于横向拉长进入处理室的气体和/或反应流的流体成形部分;和与侧壁中的处理室的第二开口流体连通的排气歧管组件,所述侧壁与所述被选择的一个侧壁径向相对。
适用于反应器组件的入口歧管组件包括:包括圆柱状导管的入口端部;和包括与入口端部流体连通的第一端开口和第二端开口的流体成形部分,其中流体成形部分的宽度从第一端开口向第二端开口增加,并且对于横向拉长从入口端部流向流体成形部分的流体是有效的。
使气体和/或反应物质流过处理室的处理,该处理包括在平行于衬底表面的平面内横向拉长进入处理室的气体和/或反应物质的层状流;将气体和/或反应物质流在平行于衬底表面的平面内排出处理室。
上述说明和其它特征由附图举例表示并详细说明。
附图说明
参照示意性的附图,其中在几副图中相同的元件用相同的标记表示:
图1是典型反应器组件的部分透视图,表示基座单元、卡盘组件、处理室、入口歧管组件、排气歧管组件和任选的光源组件;
图2是反应器组件的分解透视图;
图3是反应器组件的顶部平面图;
图4是基座单元的透视图;
图5是卡盘组件的分解透视图;
图6是卡盘组件的顶部平面图;
图7是沿着图6的线A-A截取的卡盘组件的剖面图;
图8是支架的放大的部分剖面图;
图9是处理室的透视图;
图10是入口歧管组件的顶部平面图;
图11是沿着图10的线B-B截取的入口歧管组件的剖面图;
图12是沿着图10的线C-C截取的入口歧管组件的侧面正视图;
图13是排气歧管组件的分解透视图;
图14是排气歧管组件的顶部平面图;
图15是限流器的侧视图;
图16是限流器的侧视图;
图17是限流器的侧视图;
图18是限流器的侧视图;
图19是表示对于不同尺寸的管子和稀有气体压力,气体速度作为到管子中心线的径向距离的函数的曲线;和
图20是表示晶片温度、卡盘表面温度、处理室压力和等离子体处理的时间的曲线。
优选实施例的详细说明
这里公开的是反应器组件和使气体和/或反应物质均匀流过衬底表面的处理方法。气体和/或反应物质以基本平行于由该表面限定的平面的层状流体图形流过衬底表面。最终的流体图形均匀地使衬底表面暴露于气体和/或反应物质。反应器组件采用比现有技术处理室相对小的处理室体积;使用这样的处理室减少了反应物质的停留时间和提高了反应速度。该反应器组件和处理方法可以用于等离子灰化或蚀刻操作、CVD(化学气相沉积)等,其中希望提高气体和/或反应流在衬底表面上的均匀性。用于等离子灰化和蚀刻应用的可使用的反应器组件包括用于下游处理的部件,与ICP、CCP、和二极管型蚀刻处理中采用的部件相同。
用于在反应器组件中产生反应物质的气体的成分取决于是否可通过化学或物理汽相淀积法将材料淀积到衬底上、是否从衬底上蚀刻掉材料,或者是否从处理室壁和设置在反应器组件内的其它部件清除污染淀积物。例如,用于在衬底上淀积SiO2的典型气体包括硅源气体,如SiH4、SiCl2H2,和氧源气体,如O2;或者含有硅和氧的气体,如Si(OC2H5)4。作为另一个例子,用于淀积Si3N4的气体包括SiH4和NH3或N2。典型的蚀刻气体包括BCl3、Cl2、HCl、SF6、CF4、CHF3。物理汽相淀积工艺使用非反应气体,如氩、或非反应气体和反应气体的混合物。该反应器组件和处理方法不趋于限于任何特殊气体和/或反应物质。
现在回到图1-3,其中示出了用于处理衬底12的典型反应器组件10。反应器组件10一般包括基座单元14、卡盘组件20、处理室40、入口歧管组件60、和排气歧管组件80。图1还示出了任选的光源组件,一般表示为100。用于制造这些部件的材料包括金属、陶瓷、剥离、聚合物、再化合材料和包括前述材料的至少一种的组合物。例如,合适的金属包括阳极化铝、和/或不锈钢。合适的陶瓷材料包括碳化硅、或氧化铝。应该指出的是,尽管用于处理衬底12如晶片的反应器组件10用于表示本公开内容,反应器组件10还可以用于处理其它衬底,如平板显示器、电路板、液晶显示器等,对于本领域技术人员来说,鉴于本公开这是显而易见的。
如图4所示,基座单元14包括从其延伸的底壁16和侧壁17,从而形成腔18。在一个实施例中,基座单元14的底壁16还包括机械耦合装置,例如连接器、管脚、槽等,用于将基座单元14(反应器组件10)固定到第二反应器组件上,如用于垂直叠置组件的。
图5-8示出了卡盘组件20,例如静电吸盘组件、重力吸盘组件等。如本领域技术人员一般公知的,重力吸盘组件采用重力作为将衬底固定到支架表面上的手段,从而通过衬底重量以外的任何装置不强制衬底与支架表面接触。卡盘组件20设置在基座单元14的腔18中。卡盘组件20一般包括支架22、环形隔离环24、和提升杆组件机构25。环形隔离环24密封地设置在支架22的下表面和基座单元14之间。
支架22包括平坦表面,在处理期间在该平坦表面上设置衬底12。支架22的平坦表面可以任选地包括多个周边杆23。在优选实施例中,支架22是固定的,即非旋转的。支架22优选包括气体传送孔26和从其延伸的通道28,以便热传递气体如氦等可通过孔26和/或通道28,以便提高衬底12和支架22表面之间的热传递速度。孔26和/或通道28还可以用于给衬底12的背面侧提供真空,用于增加衬底12的底表面和支架22的表面之间的接触点的数量,如通过衬底的弹性变形。如果利用保持下降的真空,则由该真空产生的衬底12和支架22的表面之间的接触点的数量增加使衬底12达到处理温度的速度提高。在这种情况下,孔26和/或通道28优选连接到真空线30,而真空线30又连接到处理室隔离阀、流路控制阀等(未示出)的下游。有利地,时间与处理温度的减小减少了总的处理时间,如在整体光致抗蚀剂除去工艺中,这是因为反应速度如蚀刻速度通常是处理温度的函数。
还可以在支架22中加工或铸造形成通道31(图7),以便来自冷却系统的流体可以循环,以便进一步调节衬底12的温度。通过这种方式,流体通过与通道31流体连通的冷却导管32循环。还可以向支架22中铸造耐热元件34(图7),这能提高处理温度,用于提高加工产量,如当进行整体光致抗蚀剂剥离或蚀刻工艺时。支架22优选具有对应衬底12的形状,并优选能在大约30℃到大约300℃的工作范围内工作。在优选实施例中,可以优选使用具有加热和冷却能力的比例积分微分(PID)控制器经反馈或闭合回路控制系统改变支架22的工作温度。该控制器将交替地给加热元件34输送电流或给支架22中的通道31输送冷却流体(空气或水)。到PID控制器的反馈应该通过在处理期间使用温度测量装置如安装在支架22的表面内的弹簧激活热电偶35测量衬底12的温度来提供,如图8所示。弹簧33是与热电偶35可操作连通的,以便热电偶35保持与衬底12的背面接触。或者,可以通过在处理的合适时刻调整输送给加热元件34的电流和允许流体流(空气或水)流过铸造到支架22中的通道31而用开回路处理(即没有反馈装置)来控制支架22的温度。这些方法只是示意性的并允许衬底12保持在恒定温度,如图20所示。在图20中,使用闭合回路控制系统将处理期间的温度保持在大约120℃。支架22优选由能抵制处理气体的腐蚀的金属制成,如具有阳极化铝氧化涂层的铝。
提升杆机构25设置在支架22的下面并包括气缸等,用于在处理期间激励提升杆37通过提升杆孔36。有利地,提升杆机构25一般被限制到基座单元14的腔18,以便允许反应器组件10的垂直堆叠,如果希望的话。操作提升杆机构25所需的空气线路以及用于反应器组件所需的任何其它管道优选设置在基座单元14中的被选择的一个侧壁中。
处理室40耦合到基座单元14。如图9中更清楚示出的,处理室40包括顶壁42和从其延伸的侧壁44。处理室40优选由对操作环境呈现惰性的材料制成,其中所述操作环境包括但不限于:处理气体、反应物和反应副产物。在优选实施例中,顶壁42可从侧壁除去。
在一个实施例中,顶壁42由基本上透明的材料制造。通过这种方式,任选的光源组件100可以设置在顶壁42的上面,用于将光线例如红外线、紫外线、可见光等投射到处理室40内。例如,在紫外光的情况下,顶壁42优选选自如石英的材料,并且对于大约100纳米(nm)到大约400nm、更优选从大约130nm到大约256nm的紫外光是基本上透明的。
处理室40的侧壁44包括多个开口。一个开口46的尺寸被设置成可以将衬底12送进或送出处理室40。开口48、50也形成在直径相对的侧壁中,用于提供分别与入口歧管组件60和排气歧管组件80的流体连通,这将在下面更详细地说明。而且,在与含有开口48、50的侧壁相邻的侧壁中还存在用于将衬底送进或送出处理室40的开口46。用于本领域公知的目的,也可以在侧壁中设置其它开口,例如用于监控等离子灰化处理中的结束点检测的光学端口、用于分析在处理期间产生的气体物质的质谱仪入口等。
入口歧管组件60固定到处理室40的被选择的一个侧壁44上,并且如图10-12所示,包括与流体成形部分64流体连通的入口端部62,用于通过处理室40的开口48引入气体和/或反应物。可除去的盖子65(图11、12)设置在流体成形部分64的上面。任选地,流体成形部分64可由一个或多个部件形成。入口端部62被表示为圆柱形导管,尽管可以采用其它形状。入口端部62与从等离子体发生器等(未示出)延伸的导管的排放出口流体连通,用于将气体和/或反应物引入处理室40。流体成形部分64从入口端部62横向地向外部延伸并与处理室40流体连通。优选地,流体成形部分64是三角形的或扇形的,尽管可以采用其它形状。在一个实施例中,由流体成形部分64提供的流体通道从在一端由入口端部62的直径限定的开口高度(H1)向由开口68限定的高度(H2)逐渐成锥形,如图11所示。凹槽70优选围绕开口68形成,以便允许安装任选的挡板(其合适的例子示于图15-18中)。通过这种方式,气体和/或反应物可以流过由流体成形部分64限定的开口68、流过挡板(如果存在的话),并进入处理室40。在优选实施例中,入口歧管组件60不含有挡板。
在气体和/或反应物从入口端部62流进处理室40时,流体成形部分64对于横向拉长气体和/或反应物的流是有效的。如下面更详细地说明,已经发现得到的流体图形在大致平行于由衬底表面限定的平面的方向流动,即流体与衬底表面共面,并且从衬底中心到其边缘都保持相同的气体速度,因此提高了处理均匀性和意想不到的提高的反应性。
在优选实施例中,流体成形部分64的中点位置与衬底12对准或共面。换言之,如图3所示,从入口导管流体成形部分64的宽度中点向排气导管接收部分82的宽度中点绘制的线Y优选与卡盘组件20的长轴一致,或者,可选择的是,优选沿着其中心轴P将衬底12切成两份。流体成形部分64的垂直中点位置优选处于支架22的表面和处理室40的顶壁42之间的距离的中点。
固定到与入口歧管组件60直径相对设置的侧壁44上的排气歧管组件80包括排气接收部分82和出口端部84,如图13和14所示。排气接收部分82与侧壁44中的开口50是流体连通的,并且横向向内延伸到与出口端部84流体连通,以便气体和/或反应物流以及在处理期间产生的任何副产物大致平行于由衬底表面12限定的平面流动。排气接收部分82优选是三角形的,尽管也可以采用其它形状。盖子83设置在排气接收部分82上。凹槽88围绕排气接收部分82的开口86设置并优选其尺寸允许接收限流器90,例如挡板。尽管可以采用其它形状,出口端部84表示为圆柱形管道,即通常在标准真空处理室中采用的排气管道的形状。
限流器90优选包括具有一个或多个通道94的板92,所述通道94用于限制气体、反应物和/或副产物流过排气歧管组件80。典型的限流器90被示于图15-18中,并且不限于此。通道94包括多个圆形开口,尽管可以采用其它形状,例如缝隙、椭圆形、正方形通道、包括至少一个前述形状的组合形状等。根据所希望应用,板92可以是平面的或可以具有一定曲率。在一优选实施例中,限流器90包括形成在板的被选一半中的通道94,即设置在被限制为小于或等于板的高度尺寸的约一半的区域中,其中板的长度尺寸大于高度尺寸(例如,图15)。这允许限流器90可以安装成使通道94在衬底的平面的上方取向,或者旋转180度,并安装成使得来自处理室40的流出物在衬底平面的下面排出。在更优选的一实施例中,最下部通道或一行通道与衬底表面共面,即处于衬底表面平面中或之上。在不希望受到理论限制时,相信限流器90可与多孔膜起到同样的作用,从而通过每个通道的气体流速基本上相同。
通过利用限流器90限制进入排气歧管组件80的气体、反应物和/或副产物的流,在处理室40的内部和排气接收部分82之间产生压力差。已经发现这种压力差的存在提高了在衬底表面上的等离子体的总体均匀性。优选地,穿过限流器90的压力差大于大约50毫托,更优选大于大约300毫托,甚至更优选大于大约500毫托。
有利地,由于限流器90是从处理室40的下游使用的,即在反应物与衬底12反应之后,因此消除了涉及反应物再化合的问题。由于限流器90位于下游,因此限流器90可以用阳极化铝等制造。与使用防止反应物再化合的材料(上游)相比,使用阳极化铝等是相对便宜的,如石英、氧化铝、陶瓷、具有非反应涂层如硬阳极化的专用铝合金等。限流器90优选机械地固定到凹槽88上。
在反应器组件10工作期间,传送机器人端部操纵装置(未示出)等用于通过开口46将衬底12送进和送出处理室40。机器人端部操纵装置将衬底12放在卡盘组件20的升高的提升杆37上。然后机器人端部操纵装置从处理室40缩回,然后降低提升杆37。通过这种方式,衬底12设置成与支架22接触。在一个实施例中,随着衬底12靠近支架表面,即随着提升杆机构缩回提升杆37,优选打开高真空阀,将衬底12的背面通过支架22中的孔26和通道28暴露于前级压力。根据衬底传送期间处理室40内的主要压力,可能穿过衬底产生压力差,使支架22的轮廓发生轻微的弹性变形。通过这种方式,提高了衬底12和支架22之间的热接触。结果是,衬底温度倾斜率可优选上升到大约20℃/sec。随着处理室40接近所希望的处理压力,穿过衬底12的压力差将下降到最小值。
然后,利用如微波、RF线圈等离子体施加器等,在处理室40上游的等离子体管等中产生气体和/或反应物,如等离子体。或者,可以在适于ICP或CCP型处理的处理室中产生等离子体。例如,ICP源可包括合适的硬件,如感应线圈,它安装在与处理室40的顶壁42相邻的位置。在平行板型反应器的情况下,将用上部电极组件代替顶壁42,并且卡盘组件20将用作下部电极,它通过环形隔离环24与反应器组件的平衡棒电绝缘和热绝缘。
等离子体可以表述为粘性流,因而当等离子体流进处理室40时,产生抛物线形层状流体图形,其中在流体图形的最中心部分发现最高气体速度(Vmax),在流体图形的最外侧部分发现最低速度(V=0),即沿着入口歧管组件60的入口端部62的壁。随着等离子体进入入口歧管组件60的流体成形部分64,气体中心线速度开始延迟,同时保持基本上抛物线形层状流体图形,如图19所示。然后等离子体流进处理室40并穿过衬底表面12。然后反应物通过与入口歧管组件60直径相对的排气歧管组件80离开处理室40,由此穿过衬底表面12提供恒定的层状流体。已经发现,在层状流体中沿着衬底横截面的每个点的速度、压力和其它流体性能保持相对恒定。通过这种方式,在衬底表面上保持气体和/或反应物的均匀停留时间。而且,由于入口歧管组件60和排气歧管组件80是对称设置的,因此提高了横向流均匀性。
图19示出了用于入口歧管组件60的不同尺寸的入口开口部分62的气体流速图形。与22mm的管道直径相比,具有35毫米(mm)的管道直径的入口端部62提供穿过衬底的提高的速度均匀性。利用较大直径和较低的处理压力将中心与边缘的气体速度差减小到2.5分之一。结果表明,大的气体入口开口和较低的压力一般有助于减小处理的非均匀性。而且,限流器90和较低处理压力的结合使用通过在处理室40内产生增压效果而降低了中心线气体速度。这种组合允许在处理室40内的反应物的更好的空间分布,并通过达到由限流器90提供的通道在Y方向(图3)产生基本均匀的速度。通过处理压力为0.8托到1.5托和质流速度为2.5-3.5标准公升每分钟,在处理室40中的停留时间令人惊讶地低,这有助于从反应表面快速除去流出物和使直接在限流之前的区域中的反应物的稀释最小化。
反应器组件10不趋于限于任何特殊半导体处理工具并可独立地适用于采用射频能、微波能或其它高频能源的等离子体产生工具或其组合,以便产生等离子体。处理室40内的工作压力优选为大约100毫托到大约3托,更优选为大约200毫托到大约2托,甚至更优选为大约500毫托到大约1.5托。而且,处理室10还可包括附加的结构,这取决于应用。例如,可以安装石英窗口,并且靠近晶片放置UV光源。这种非柱形光源可以具有与UV(紫外线)准分子激光器相同的波长,其中准分子激光器在整体剥离应用中呈现增强的光致抗蚀剂除去特性,并且可以与微波等离子体产生反应气体并行使用。而且,对于光源的前置和后置光致抗蚀剂剥离曝光还提供残余物除去和注入的抗蚀剂除去优点。上方的RF源、光学端口、气体分析仪、附加光源等也可独立地或组合地与处理室40使用,提供极柔性的处理平台。
下列例子只是用于说明目的,不限制被公开的范围。
例1
在本例中,在采用横向流反应器组件的等离子灰化器中采用整体剥离光致抗蚀剂除去工艺,并且与用于相同应用而构成的常规轴向流反应器组件相比较。用光致抗蚀剂涂覆衬底并将其暴露于整体光致抗蚀剂剥离除去工艺。轴向流等离子体反应器组件包括GES等离子灰化器和MCU等离子灰化器,这两者都可从Axcelis TechnologiesCorporation商业获得的。横向流反应器组件包括使用设置在排气歧管组件中的限流器,如前所述。采用的限流器是具有类似于图15中所示的限流器的圆形通道结构的平板。该限流器安装在排气歧管组件80的凹槽88中,并具有朝向处理室40的顶部定向的通道图形,以便下一行圆形通道与衬底表面共面。衬底温度相对恒定地保持在120℃和270℃。
在横向流反应器组件中采用的工艺包括流动的3200sccm氧、300sccm形成气体(氮气中含有5%氢),并且压力为1.5.托,微波功率为1500瓦。与在270℃的光致抗蚀剂收缩相关的作用从在该温度的灰化速度中减去。用于MCU和GES反应器组件的处理方案被优化以提供最佳性能。灰化除去速度示于表1中。
                                                              表1
120℃灰化速度(微米/分钟) 270℃灰化速度(微米/分钟) 在120℃的处理非均匀性(%)   在270℃的处理非均匀性(%)
  横向流反应器   0.211   6.9   7.5   11.9
  *轴向流(GES等离子灰化器)   0.117   2.8   6.0   3.0
  *轴向流(MCU等离子灰化器)   0.123   2.0   11   12
*:对比例
在使用横向流反应器构成的整体剥离光致抗蚀剂除去工艺,结果意想不到地表明了用于与光致抗蚀剂的表面反应可获得的活性物质数量的增加,如通过相对于MCU和GES轴向流反应器的增加的灰化速度证实的。与使用相同处理条件、处理气体、光致抗蚀剂和衬底类型的轴向流处理室结构相比,灰化速度是其大约2倍或以上。用于横向流反应器的观察到的反应速度惊人地大于在使用氟处理化学物质如CF4和其它含氟化合物的轴向流反应器中通常观察到的反应速度,所述的其它含氟化合物一般与O2组合使用,以便提高反应速度。有利地,横向流处理室结构的处理均匀性基本上与轴向流反应器组件等效。
有利地,使用横向流处理室允许反应以比常规轴向流反应器快的速度进行,同时提供衬底温度的控制,如通过用于120℃灰化工艺的晶片温度曲线所证实的,如图20所示。由于在横向流结构中处理室体积相对较小,因此停留时间最短。而且,在气体入口没有挡板,通过减小与气体接触的表面面积和减小晶片上游的压力变化,可有利地减小活性物质的再化合效果。其它优点包括(但不限于)消除了通常在轴向流反应器中备有的挡板中发现的寄生晶片加热或所谓的“第一晶片”效应;剥离速度比常规轴向流反应器高;由于简化了设计而降低了制造成本;消除了石英或铝合金和用于入口气体分布的涂层;和由于减小了尺寸和垂直高度而使底座较小。感应器组件是通用的并可适用于各种应用。处理室的可除去的顶壁允许容易地接近处理室的内部,用于维修、用于清洗等。而且,使用透明顶壁允许用户使用光源。此外,如前面指出的,一个或多个反应器组件可垂直堆叠,由此可以以对底座的最小冲击而具备多个衬底处理能力。
前面已经参照优选实施例介绍了本发明,本领域技术人员应该理解,在不脱离本发明的范围的情况下可以进行各种变化和对其元件进行等效替换。此外,在不脱离本发明的主要范围的情况下可对本发明的教导进行很多修改以适于特殊情况或材料。因此,本发明不限于这里公开的用于实施本发明的最佳方式的特殊实施例,而是本发明将包括落入所附权利要求书的范围内的所有实施方式。

Claims (36)

1、一种反应器组件,包括:
基座单元;
设置在基座单元的腔内的卡盘组件,其中卡盘组件包括具有能接收衬底的表面的支架;
包括顶壁、底壁和从其延伸的侧壁的处理室,其中处理室耦合到基座单元;
与被选择的一个侧壁中的处理室的第一开口流体连通的入口歧管组件,其中入口歧管组件包括适于横向拉长进入处理室的气体和/或反应物流的流体成形部分;和
与侧壁中的处理室的第二开口流体连通的排气歧管组件,所述侧壁与所述被选择的一个侧壁径向相对。
2、根据权利要求1的反应器组件,其中入口歧管组件的流体成形部分适于将气体和/或反应物流在大致平行于衬底表面的平面引入处理室中。
3、根据权利要求1的反应器组件,其中流体成形部分是三角形状的。
4、根据权利要求1的反应器组件,其中处理室的顶壁是可拆卸的。
5、根据权利要求1的反应器组件,其中基座单元的底壁适于堆叠地固定到第二反应器组件上。
6、根据权利要求1的反应器组件,其中排气歧管组件适于在大致平行于衬底表面的平面从处理室接收气体和/或反应物流。
7、根据权利要求1的反应器组件,其中排气歧管组件包括排气接收部分和限流器,其中限流器附着于排气接收部分的开口上,并适于限制从处理室通过开口进入排气接收部分的气体和/或反应物流。
8、根据权利要求1的反应器组件,其中卡盘组件的支架包括用于调整衬底温度的装置。
9、根据权利要求1的反应器组件,其中所述支架还包括耐热元件和冷却通道。
10、根据权利要求1的反应器组件,其中卡盘组件的支架是固定的和非旋转的。
11、根据权利要求1的反应器组件,其中入口歧管组件还包括固定到流体成形部分的开口上的限流器。
12、根据权利要求1的反应器组件,其中顶壁对光源基本上是透明的。
13、根据权利要求1的反应器组件,其中顶壁对UV光源基本上是透明的。
14、根据权利要求1的反应器组件,其中顶壁对红外光源基本上是透明的。
15、根据权利要求1的反应器组件,其中处理室包括在与第一和第二开口的侧壁相邻的侧壁中的第三开口,其中第三开口的尺寸可以将衬底传送到处理室的内部区域内。
16、根据权利要求1的反应器组件,还包括设置在流体成形部分的开口周围的挡板。
17、根据权利要求7的反应器组件,其中排气接收部分是三角形状的。
18、根据权利要求7的反应器组件,其中限流器包括具有至少一个通道的板。
19、根据权利要求7的反应器组件,其中限流器包括长度尺寸比高度尺寸大的矩形板,其中通道设置在小于或等于高度尺寸的大约一半的区域中。
20、根据权利要求7的反应器组件,其中限流器包括阳极化铝。
21、根据权利要求1的反应器组件,其中入口歧管组件适于在大致平行于衬底表面的平面内引入气体和/或反应物,排气歧管组件适于在大致平行于衬底表面的平面内排出气体和/或反应物。
22、一种使气体和/或反应物质流过处理室的处理方法,该处理方法包括:
在大致平行于衬底表面的平面内横向拉长进入处理室的气体和/或反应物质的层状流;和
将气体和/或反应物质流在大致平行于衬底表面的平面内排出处理室。
23、根据权利要求22的处理方法,其中排出气体和/或反应物质流还包括限制流体以及在处理室的内部和排气接收部分的内部之间产生压力差。
24、根据权利要求22的处理方法,其中排出气体和/或反应物质流还包括限制流体以及在处理室的内部和排气接收部分的内部之间产生至少大约50毫托的压力差。
25、根据权利要求22的处理方法,其中排出气体和/或反应物质流还包括限制流体以及在处理室的内部和排气接收部分的内部之间产生至少大约300毫托的压力差。
26、根据权利要求22的处理方法,其中排出气体和/或反应物质流还包括限制流体以及在处理室的内部和排气接收部分的内部之间产生至少大约500毫托的压力差。
27、根据权利要求22的处理方法,还包括使处理室在大约100毫托到大约3托的压力下工作。
28、根据权利要求22的处理方法,其中横向拉长层状流体包括使中心区域流速和外围区域流速之间的流速差最小。
29、一种入口歧管组件,包括:
包括圆柱状导管的入口端部;和
包括与入口端部流体连通的第一端开口和第二端开口的流体成形部分,其中流体成形部分的宽度从第一端开口向第二端开口增加,并且对于横向拉长从入口端部流向流体成形部分的流体是有效的。
30、根据权利要求29的入口歧管组件,其中流体成形部分包括从第一端开口向第二端开口减小的高度尺寸。
31、根据权利要求29的入口歧管组件,还包括附着于围绕第二开口周围的凹槽上的挡板。
32、一种反应器组件,包括:
基座单元;
设置在基座单元的腔内的卡盘组件,其中卡盘组件包括具有能接收衬底的表面的支架;
包括顶壁、底壁和从其延伸的侧壁的处理室,其中处理室耦合到基座单元;
可操作地与透明顶壁连通的光源组件,用于将辐射投射到处理室内;
与被选择的一个侧壁中的处理室的第一开口流体连通的入口歧管组件,其中入口歧管组件包括适于横向拉长进入处理室的气体和/或反应物流的流体成形部分;和
与侧壁中的处理室的第二开口流体连通的排气歧管组件,所述侧壁与所述被选择的一个侧壁径向相对。
33、根据权利要求32的反应器组件,其中光源组件适于发射辐射并包括外壳和光源。
34、根据权利要求32的反应器组件,其中顶壁包括石英材料。
35、根据权利要求32的反应器组件,其中排气歧管组件适于在大致平行于衬底表面的平面内从处理室接收气体和/或反应物流。
36、根据权利要求32的反应器组件,其中透明顶壁是可拆卸的。
CNA038034239A 2002-02-08 2003-02-10 反应器组件和处理方法 Pending CN1628368A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/071,908 2002-02-08
US10/071,908 US7163587B2 (en) 2002-02-08 2002-02-08 Reactor assembly and processing method

Publications (1)

Publication Number Publication Date
CN1628368A true CN1628368A (zh) 2005-06-15

Family

ID=27659351

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA038034239A Pending CN1628368A (zh) 2002-02-08 2003-02-10 反应器组件和处理方法

Country Status (8)

Country Link
US (1) US7163587B2 (zh)
EP (1) EP1472719A2 (zh)
JP (1) JP4352234B2 (zh)
KR (1) KR20040079993A (zh)
CN (1) CN1628368A (zh)
AU (1) AU2003213000A1 (zh)
TW (1) TWI262556B (zh)
WO (1) WO2003067635A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101126155B (zh) * 2006-08-03 2011-06-22 Sfa工程股份有限公司 化学气相沉积装置
CN102339745A (zh) * 2008-03-27 2012-02-01 东京毅力科创株式会社 气体供给装置、处理装置、处理方法
CN102414824A (zh) * 2009-02-27 2012-04-11 剑桥纳米科技公司 Ald系统和方法
CN104425315A (zh) * 2013-08-23 2015-03-18 朗姆研究公司 用于优化远程等离子窗清洁的排气流扩散挡板冒口
CN106544646A (zh) * 2015-09-18 2017-03-29 沈阳拓荆科技有限公司 一种原子层沉积设备
CN107761074A (zh) * 2016-08-19 2018-03-06 应用材料公司 用于外延腔室的上部锥体
US10020197B2 (en) 2005-12-05 2018-07-10 Novellus Systems, Inc. Method for reducing porogen accumulation from a UV-cure chamber
US10121682B2 (en) 2005-04-26 2018-11-06 Novellus Systems, Inc. Purging of porogen from UV cure chamber
CN109072429A (zh) * 2016-04-05 2018-12-21 艾克斯特朗欧洲公司 化学气相沉积反应炉中的排气歧管

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7821655B2 (en) * 2004-02-09 2010-10-26 Axcelis Technologies, Inc. In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction
EP1866465A2 (en) * 2005-01-18 2007-12-19 ASM America, Inc. Reaction system for growing a thin film
DE102007002415B4 (de) * 2007-01-17 2011-04-28 Atlas Material Testing Technology Gmbh Vorrichtung zur Licht- oder Bewitterungsprüfung enthaltend ein Probengehäuse mit integriertem UV-Strahlungsfilter
US20080296258A1 (en) * 2007-02-08 2008-12-04 Elliott David J Plenum reactor system
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
JP5060324B2 (ja) * 2008-01-31 2012-10-31 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び処理容器
KR101588482B1 (ko) * 2008-07-07 2016-01-25 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
JP5734185B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
US8603243B2 (en) * 2008-07-31 2013-12-10 The United States Of America, As Represented By The Secretary Of The Navy Tracking carbon to silicon ratio in situ during silicon carbide growth
WO2010030718A2 (en) * 2008-09-11 2010-03-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process with an ion mobility spectrometer
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5410348B2 (ja) * 2010-03-26 2014-02-05 株式会社豊田中央研究所 表面処理装置
FR2957938B1 (fr) * 2010-03-29 2012-10-05 Koolerheadz Dispositif d'injection de gaz avec vitesse de gaz uniforme
US9410248B2 (en) 2010-03-29 2016-08-09 Koolerheadz Modular gas injection device
FR2957939B1 (fr) * 2010-03-29 2012-08-17 Koolerheadz Dispositif d'injection de gaz modulaire
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5602903B2 (ja) 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
KR102264053B1 (ko) * 2013-05-01 2021-06-11 어플라이드 머티어리얼스, 인코포레이티드 Epi 챔버 유동 조작을 위한 주입구 및 배기구 설계
JP6309252B2 (ja) * 2013-11-21 2018-04-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
JP6198584B2 (ja) * 2013-11-21 2017-09-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11486038B2 (en) 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP7413505B2 (ja) * 2019-08-05 2024-01-15 アイコール・システムズ・インク 層流制限器
US11841036B2 (en) 2019-08-05 2023-12-12 Ichor Systems, Inc. Laminar flow restrictor and seal for same
CN111455458B (zh) * 2019-09-18 2021-11-16 北京北方华创微电子装备有限公司 外延装置及应用于外延装置的进气结构
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
EP4280987A1 (en) * 2021-01-23 2023-11-29 Sheperak, Thomas J. Plasma gas generator
CN114959650B (zh) * 2022-05-18 2023-10-20 江苏微导纳米科技股份有限公司 一种半导体装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5044315A (en) * 1987-06-24 1991-09-03 Epsilon Technology, Inc. Apparatus for improving the reactant gas flow in a reaction chamber
US4846102A (en) * 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US5244694A (en) * 1987-06-24 1993-09-14 Advanced Semiconductor Materials America, Inc. Apparatus for improving the reactant gas flow in a reaction chamber
US5261960A (en) * 1987-06-24 1993-11-16 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4993360A (en) * 1988-03-28 1991-02-19 Kabushiki Kaisha Toshiba Vapor growth apparatus having a diffuser section containing a flow regulating member
JP2592511B2 (ja) * 1988-12-03 1997-03-19 株式会社フレンドテック研究所 縦型半導体製造システム
JP2888253B2 (ja) * 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
FR2661554A1 (fr) * 1990-04-30 1991-10-31 Philips Electronique Lab Dispositif d'introduction des gaz dans la chambre d'un reacteur d'epitaxie, chambre de reacteur comportant un tel dispositif d'introduction de gaz, et utilisation d'une telle chambre pour la realisation de couches semiconductrices.
US5190592A (en) * 1990-05-02 1993-03-02 Commissariat A L'energie Atomique Aerosol injection system for producing composite layers by pyrolysis
EP0502209B1 (en) * 1990-09-21 1997-05-14 Fujitsu Limited Method and apparatus for growing compound semiconductor crystals
EP0605725B1 (en) * 1991-09-27 1999-08-25 Komatsu Electronic Metals Co., Ltd Apparatus for introducing gas, and apparatus and method for epitaxial growth
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP2875458B2 (ja) * 1993-07-16 1999-03-31 大日本スクリーン製造株式会社 基板の熱処理装置
US5441568A (en) 1994-07-15 1995-08-15 Applied Materials, Inc. Exhaust baffle for uniform gas flow pattern
JP3008782B2 (ja) * 1994-07-15 2000-02-14 信越半導体株式会社 気相成長方法およびその装置
US5685906A (en) * 1995-03-23 1997-11-11 Seh America, Inc. Method and apparatus for configuring an epitaxial reactor for reduced set-up time and improved layer quality
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
WO1999023276A1 (en) * 1997-11-03 1999-05-14 Asm America, Inc. Long life high temperature process chamber
US6185839B1 (en) 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
US6583638B2 (en) * 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
US6355108B1 (en) * 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10121682B2 (en) 2005-04-26 2018-11-06 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US11177131B2 (en) 2005-12-05 2021-11-16 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US10020197B2 (en) 2005-12-05 2018-07-10 Novellus Systems, Inc. Method for reducing porogen accumulation from a UV-cure chamber
CN101126155B (zh) * 2006-08-03 2011-06-22 Sfa工程股份有限公司 化学气相沉积装置
CN102339745A (zh) * 2008-03-27 2012-02-01 东京毅力科创株式会社 气体供给装置、处理装置、处理方法
CN102414824A (zh) * 2009-02-27 2012-04-11 剑桥纳米科技公司 Ald系统和方法
CN102414824B (zh) * 2009-02-27 2015-12-02 剑桥纳米科技公司 Ald系统和方法
US9777371B2 (en) 2009-02-27 2017-10-03 Ultratech, Inc. ALD systems and methods
CN104425315A (zh) * 2013-08-23 2015-03-18 朗姆研究公司 用于优化远程等离子窗清洁的排气流扩散挡板冒口
CN104425315B (zh) * 2013-08-23 2017-08-22 朗姆研究公司 用于优化远程等离子窗清洁的排气流扩散挡板冒口
CN106544646B (zh) * 2015-09-18 2019-02-01 沈阳拓荆科技有限公司 一种原子层沉积设备
CN106544646A (zh) * 2015-09-18 2017-03-29 沈阳拓荆科技有限公司 一种原子层沉积设备
CN109072429A (zh) * 2016-04-05 2018-12-21 艾克斯特朗欧洲公司 化学气相沉积反应炉中的排气歧管
CN109072429B (zh) * 2016-04-05 2021-06-15 艾克斯特朗欧洲公司 化学气相沉积反应炉中的排气歧管
CN107761074A (zh) * 2016-08-19 2018-03-06 应用材料公司 用于外延腔室的上部锥体
US10978324B2 (en) 2016-08-19 2021-04-13 Applied Materials, Inc. Upper cone for epitaxy chamber
CN107761074B (zh) * 2016-08-19 2021-05-25 应用材料公司 用于外延腔室的上部锥体

Also Published As

Publication number Publication date
EP1472719A2 (en) 2004-11-03
JP4352234B2 (ja) 2009-10-28
TW200308012A (en) 2003-12-16
US7163587B2 (en) 2007-01-16
AU2003213000A8 (en) 2003-09-02
AU2003213000A1 (en) 2003-09-02
KR20040079993A (ko) 2004-09-16
WO2003067635A2 (en) 2003-08-14
JP2005517295A (ja) 2005-06-09
TWI262556B (en) 2006-09-21
US20030150560A1 (en) 2003-08-14
WO2003067635A3 (en) 2003-11-27

Similar Documents

Publication Publication Date Title
CN1628368A (zh) 反应器组件和处理方法
CN101241844B (zh) 用于前段工艺制造的原地干洗腔
JP5296380B2 (ja) プラズマ加工ステップセットの調整方法
US8097120B2 (en) Process tuning gas injection from the substrate edge
EP1553208A2 (en) Substrate processing apparatus and cleaning method therefor
US20040168769A1 (en) Plasma processing equipment and plasma processing method
CN1319247A (zh) 低污染、高密度等离子蚀刻腔体及其加工方法
TW201515098A (zh) 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法
CN102037790A (zh) 卡紧的整体喷头电极
JPH11154667A (ja) 大きなウエハ直径のための空間的に均一なガス供給およびポンプ構成
CN1460286A (zh) 等离子体处理装置
TWI671816B (zh) 負載鎖定整合斜面蝕刻器系統
EP0413239A2 (en) Gas distribution system and method of using said system
WO2009065303A1 (fr) Dispositif de confinement de plasma et appareil de traitement de semi-conducteur l'utilisant
CN1848372A (zh) 等离子体反应装置
TWI814291B (zh) 均勻的原位清洗及沉積
KR20210047375A (ko) 플라즈마 프로세싱 챔버용 열 전도성 스페이서
KR20190119152A (ko) 유동성 cvd를 위한 확산기 설계
JP2667364B2 (ja) 成膜装置
TWI844439B (zh) 均勻的原位清洗及沉積
JP2006165173A (ja) 半導体装置の製造装置および製造方法
JP2022185570A (ja) シャワーヘッド、電極ユニット、ガス供給ユニット、基板処理装置及び基板処理システム
JP2024097002A (ja) シャワーヘッド、電極ユニット、ガス供給ユニット、基板処理装置及び基板処理システム
JP2000208424A (ja) 処理装置とその方法
TW202410158A (zh) 具有改良均勻性之電漿噴灑頭

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication