KR100452649B1 - 플라즈마 처리 장치용 전극 구조체, 처리 장치용 탑재대구조체, 플라즈마 처리 장치 및 처리 장치 - Google Patents

플라즈마 처리 장치용 전극 구조체, 처리 장치용 탑재대구조체, 플라즈마 처리 장치 및 처리 장치 Download PDF

Info

Publication number
KR100452649B1
KR100452649B1 KR10-2001-7016361A KR20017016361A KR100452649B1 KR 100452649 B1 KR100452649 B1 KR 100452649B1 KR 20017016361 A KR20017016361 A KR 20017016361A KR 100452649 B1 KR100452649 B1 KR 100452649B1
Authority
KR
South Korea
Prior art keywords
heat transfer
electrode
processing apparatus
gas
plasma processing
Prior art date
Application number
KR10-2001-7016361A
Other languages
English (en)
Other versions
KR20020022072A (ko
Inventor
미츠야키 고미노
야스하루 사사키
교 츠보이
히데야키 아마노
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20020022072A publication Critical patent/KR20020022072A/ko
Application granted granted Critical
Publication of KR100452649B1 publication Critical patent/KR100452649B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2002Controlling environment of sample

Abstract

진공흡인 가능하게 된 처리 용기(26)내에 플라즈마를 이용하여 피처리체(W)에 대하여 소정의 처리를 실행하는 플라즈마 처리 장치에 이용되는 전극 구조체가 제공된다. 전극부(38)는 가열 히터부(44)를 내부에 갖는다. 냉각 재킷(58)을 갖는 냉각 블록(40)이 전극부(38)에 접합되어 전극부를 냉각한다. 내열성 메탈 밀봉 부재(66A, 66B)는 전극부와 냉각 블록 사이에 형성되는 전극측 전열 공간(62, 64)을 밀봉한다. 전극측 전열 가스 공급 수단(94)은 전극측 전열 공간에 전열 가스를 공급한다. 이에 의해 20℃ 이상, 예컨대 350 내지 500℃ 정도의 고온 영역에 있어서도 전극측 전열 공간의 밀봉성이 열화하지 않고 전열 가스가 누출되는 일이 없다.

Description

플라즈마 처리 장치용 전극 구조체, 처리 장치용 탑재대 구조체, 플라즈마 처리 장치 및 처리 장치{PLASMA PROCESS DEVICE, ELECTRODE STRUCTURE THEREOF, AND STAGE STRUCTURE}
일반적으로, 반도체 집적 회로의 제조 공정에 있어서는, 피처리체인 반도체 웨이퍼에 대해서 성막 처리, 에칭 처리, 산화 확산 처리 등의 각종 처리가 반복해서 실행된다. 이 종류의 처리에 있어서, 비피복 웨이퍼(bare wafer)에 대하여 산화막을 성막하는 경우와 같이, 온도에 의한 손상을 받는 소자나 구조, 또는 부재가 웨이퍼중에 존재하지 않는 경우에는, 예컨대 800 내지 900℃의 고온으로 열 처리를 해도 문제는 없다. 그러나, 예컨대 회로 소자를 다층화할 때의 층간 절연막 등을 성막하는 경우에는, 상술한 바와 같이 800 내지 900℃의 고온으로 웨이퍼를 가열하면 하층의 회로 소자나 구조가 열적 손상을 받는다는 문제가 있다. 이러한 문제를 피하기 위해서, 그 정도 높지 않은 온도, 예컨대 300℃ 정도의 저온 영역에서 플라즈마를 이용하여 CVD(Chemical Vapor Deposition) 성막을 실시하는 것이 실행되고 있다.
도 1은 상기한 바와 같은 플라즈마 CVD를 실행하는 종래 장치의 개략 구성도이다. 우선, 진공흡인 가능하게 된 처리 용기(2)내에는 탑재대를 겸한 하측 전극부(4)가 설치되어 있다. 이 하측 전극부(4)에는 시스 히터(sheath heater)와 같은 가열 히터부(6)가 절연 상태로 내장되어 있다. 구체적으로는, 상기 가열 히터부(6)를 알루미늄 등에 의해 주입함으로써 이것을 내장하고 있다. 그리고, 이 알루미늄제의 하측 전극부(4) 표면에 정전 척용의 척 전극을 세라믹스재에 내장하여 구성되는 세라믹제의 정전 척(8)이 납땜 등에 의해 접합하여 마련되어 있다. 반도체 웨이퍼(W)는 정전 척(8)상에 정전 흡착력에 의해 유지된다.
또한, 상기 하측 전극부(4) 하부의 내부에 냉각 재킷(10)을 갖는 냉각 블록(12)이 마련되어 있다. 상기 냉각 재킷(10)과 가열 히터부(6)를 제어함으로써, 웨이퍼(W)를 최적의 온도로 유지하는 구성으로 되어있다.
하부 전극부(4)와 냉각 블록(12) 사이에는 좁은 간격의 전열 공간(14)이 형성되어 전열 효율이 저하하기 때문에, 이 전열 공간(14)을 O링 등의 밀봉 부재(16)로 밀봉하고, 여기에 Ar 가스, He 가스, 질소 가스 등의 불활성 가스로 구성되는 전열 가스를 도입하여, 전열 효율을 개선하고 있다.
또한, 처리 용기(2)의 천정부에는 상기 하측 전극부(4)와 대향하여 상측 전극부(18)가 마련되어 있다. 그 상측 전극부(18)내에도 시스 히터와 같은 가열 히터부(20)가 알루미늄 등에 의해 주입함으로써 내장되어 있다. 그리고, 이 상측 전극부(18)에 플라즈마 발생용의 고주파 전압을 인가하기 위한 고주파 전원(22)이 접속되어 있고, 이 상측 전극부(18)와 하측 전극부(4) 사이에 플라즈마를 발생시켜 웨이퍼(W)에 대하여 소정의 처리를 실시하도록 되어 있다.
그런데, 반도체 웨이퍼의 처리 온도가 비교적 낮은 경우, 예컨대 처리 온도가 약 200℃ 이하인 경우에는, 처리 용기(2)내에 마련되는 부재로의 처리 온도의 영향은 크지 않다. 그러나, 예컨대 성막 처리에 있어서, 성막 속도를 높이거나 막질의 개선을 위해, 하층의 소자나 구조 등이 열적 손상을 받지 않는 범위에서 처리 온도를 200℃ 이상, 예컨대 350 내지 500℃ 정도까지 상승시키는 경우가 있다.
이러한 고온 영역이 되면, 전열 공간(14)을 밀봉하고 있는 O링 등의 밀봉 부재(16)가 열 열화하여 밀봉성이 손상되고, 봉입 가스가 누출한다는 문제가 있었다. 밀봉 부재(16)의 밀봉성이 손상되면, 전열 공간(14)에 밀봉되어 있던 불활성 가스가 처리 용기(2)내로 유출하여 전열 효율이 열화한다. 그 결과, 웨이퍼(W)의 온도 제어의 정밀도가 열화하거나, 또는 예컨대 성막 처리에 있어서 전열 가스에 의해 처리 용기(2)내의 성막 가스가 희석화된다. 이 문제를 방지하기 위해서는, 성막 가스의 유량을 화학량론비 이상으로 증대시켜야 하며, 성막 가스의 소비량이 증대한다는 문제도 있었다.
또한, 고온이 되는 전열 공간(14)에 압력 센서를 마련할 수 없기 때문에, 전열 공간(14)내로의 전열 가스의 공급 압력은 공급원측에서 모니터하여, 공급 압력이 적정하게 되도록 제어하고 있다. 그러나, 이러한 구성으로는 제어 대상 영역의 압력을 직접 검출하지 않고 있기 때문에, 가스압의 제어성이 열악해지지 않을 수없었다.
한편, 본 발명자는 일본 특허 공개 제 1994-232082호 공보에 있어서, 저온 상태로 처리할 때의 밀봉 구조를 제안했지만, 이것은 예컨대 액체 질소를 사용하여 냉각하는 영약 장치와 같은 초저온 환경하에서의 밀봉 구조이다.
발명의 요약
본 발명은 상술한 문제를 해결한 개량된 유용한 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
본 발명의 보다 구체적인 목적은 200℃ 이상의 고온 영역에 있어서도 밀봉성이 열화하지 않고 전열 가스가 누출되는 것이 적은 플라즈마 처리 장치의 전극 구조체 및 탑재대 구조체를 제공하는 것에 있다.
본 발명의 다른 목적은 내부에 개재된 절연체의 변형을 억제하고, 또한 상대 부재와 균등하게 접촉할 수 있도록 하여, 이로써 피처리체의 온도 분포의 면내 균일성을 개선할 수 있는 플라즈마 처리 장치의 전극 구조체 및 탑재대 구조체를 제공하는 것에 있다.
또한, 본 발명의 다른 목적은 내열성 메탈 밀봉 부재의 표면, 또는 이 밀봉 부재가 접촉하는 상대 부재의 접촉면에 프로세스 온도로 연화되는 저융점 재료의 연화 금속막 또는 연화 금속층을 형성함으로써, 누설 통과의 발생을 대폭 억제하는 것이 가능한 플라즈마 처리 장치의 전극 구조체 및 탑재대 구조체를 제공하는 것에 있다.
또한, 본 발명의 다른 목적은 내열성 메탈 밀봉 부재의 노출부 전체 표면에불화 부동체막을 형성함으로써, 불화 가스에 대한 내부식성을 향상시키는 것이 가능한 플라즈마 처리 장치의 전극 구조체 및 탑재대 구조체를 제공하는 것에 있다.
또한, 본 발명의 다른 목적은 전열 공간을 구획하는 부재의 표면 거칠기를 소정의 값 이하로 설정하여 열 전달성을 향상시킴으로써, 피처리체의 온도 제어의 향상이 가능한 플라즈마 처리 장치의 전극 구조체 및 탑재대 구조체를 제공하는 것에 있다.
또한, 본 발명의 다른 목적은 온도가 높아지는 경향이 있는 전극부나 탑재대 중심부의 탈열을 기체 블로워에 의해 또는 전극부나 탑재대를 지지하는 지주를 냉각함으로써 촉진시켜, 피처리체의 온도 분포의 면내 균일성을 향상시키는 것이 가능한 플라즈마 처리 장치의 전극 구조 및 탑재대 구조체를 제공하는 것에 있다.
상술한 목적을 달성하기 위한, 본 발명의 하나의 실시예에 의하면, 진공흡인 가능하게 된 처리 용기내에서 플라즈마를 이용하여 피처리체에 대하여 소정의 처리를 실행하는 플라즈마 처리 장치에 이용되는 전극 구조체에 있어서, 가열 히터부를 내부에 갖는 전극부와, 이 전극부에 접합되어 내부에 상기 전극부를 냉각하는 냉각 재킷을 갖는 냉각 블록과, 상기 전극부와 상기 냉각 블록 사이에 형성된 전극측 전열 공간을 밀봉하기 위한 내열성 메탈 밀봉 부재와, 상기 전극측 전열 공간에 전열 가스를 공급하는 전극측 전열 가스 공급 수단을 구비하는 전극 구조체가 제공된다.
이와 같이, 내열성 메탈 밀봉 부재를 이용함으로써 200℃ 이상, 예컨대 350 내지 500℃ 정도의 고온 영역에서도 전극측 전열 공간의 밀봉성을 높게 유지하는 것이 가능해진다.
또한, 본 발명의 다른 실시예에 의하면, 진공흡인 가능하게 된 처리 용기내에서 플라즈마를 이용하여 피처리체에 대하여 소정의 처리를 실행하는 플라즈마 처리 장치에 이용되는 전극 구조체에 있어서, 가열 히터부를 내부에 갖는 전극부와, 이 전극부에 접합되어 내부에 상기 전극부를 냉각하는 냉각 재킷을 갖는 냉각 블록과, 상기 전극부와 상기 냉각 블록과의 접합 대향면중의 적어도 한편에 동심원상 또는 나선상으로 마련된 홈부에 의해 형성된 래버린스 전열 공간과, 상기 래버린스 전열 공간에 전열 가스를 공급하는 전극측 전열 가스 공급 수단을 구비하는 전극 구조체가 제공된다.
이와 같이, 전극부와 냉각 블록의 접합면에 래버린스 전열 공간을 마련함으로써, 200℃ 이상, 예컨대 350 내지 500℃ 정도의 고온 영역에 있어서도 상기 전극부와 상기 냉각 블록 사이에 형성되는 전열 공간의 밀봉성을 높게 유지하는 것이 가능해진다.
또한, 상기 전극부와 상기 냉각 블록 사이에는 절연체가 마련되고, 상기 전열 공간은 상측 공간과 하측 공간으로 분할되는 것으로 해도 무방하다. 이 경우에도, 상측 및 하측 공간이 함께 내열성 메탈 밀봉 부재로 밀봉되기 때문에 고온 영역에서의 밀봉성을 높게 유지할 수 있다.
상기 절연체는 열 전도도가 80W/mK 이상의 재료로 형성되는 것으로도 무방하다. 이에 따라, 절연체의 휘어짐 양을 억제할 수가 있어, 이 결과 상대 부재와 균등하게 접촉함으로써, 피처리체의 온도 분포의 면내 균일성을 개선하는 것이 가능해진다. 상기 절연체를 질화 알루미늄(AlN)에 의해 형성하도록 해도 무방하다.
또한, 상기 전열 공간을 구획하기 위해 접합된 부재의 접합면의 접촉율을 40 내지 80%의 범위내로 설정하도록 해도 무방하다. 이로써, 열 저항을 과도하게 높게 하지 않고, 전열 가스를 면내에 대략 균일하게 흐르게 할 수 있기 때문에, 피처리체의 면내 온도 분포의 균일성을 더 개선하는 것이 가능해진다.
또한, 상기 전열 공간을 구획하는 부재의 표면 거칠기를 2.0㎛ 이하로 설정하도록 해도 좋다. 이와 같이, 전열 공간을 구획하는 부재의 표면 거칠기를 소정 값 이하로 설정함으로써, 열 전도성을 향상시킬 수 있고, 또한 피처리체의 온도 제어의 향상이 가능해진다.
또한, 상기 내열성 메탈 밀봉 부재는 내부에 저융점 재료를 봉입한 단면 링 형상의 내열성 금속막으로 구성되도록 해도 무방하다. 이로써 밀봉 부재 표면의 모방성은 높아지고, 밀봉성을 한층 더 향상시키는 것이 가능해진다. 상기 내열성 메탈 밀봉 부재의 표면은 상기 피처리체의 프로세스 온도로 연화되는 저융점 재료로 구성되는 연화 금속막에 의해 피복되어 있는 것이어도 무방하다. 이에 의하면, 처리시에 상기 저융점 재료가 연화되어 이 밀봉 부재와의 접촉면의 결각이 상기 연화한 재료에 의해 내장됨으로써 누설 통과가 없어져, 이로써 결각을 거쳐 달아나는 전열 가스를 억제하는 것이 가능해진다.
또한, 상기 내열성 메탈 밀봉 부재가 접합하는 부재의 표면에, 상기 피처리체의 프로세스 온도로 연화되는 저융점 재료로 구성되는 연화 금속층이 형성되어 있는 것으로도 무방하다. 이 경우도, 프로세스시에 상기 저융점 재료가 연화되어 이 밀봉 부재와의 접촉면의 결각이 상기 연화된 재료에 의해 내장되도록 함으로써누설 통과가 없어져, 이에 따라 결각을 거쳐 달아나는 전열 가스를 억제하는 것이 가능해진다.
또한, 상기 내열성 메탈 밀봉 부재의 표면은 불소계 가스에 대하여 내부식성을 갖는 불화 부동체막에 의해 피복되어 있는 것으로도 무방하다. 이에 따라, 불화 가스에 대한 내부식성을 향상시키는 것이 가능해진다. 불화 부동체막은 불화 니켈로 구성된다.
상기 가열 히터부는 세라믹 히터로 형성되도록 해도 무방하다. 또한, 상기 가열 히터부는 동심원상으로 영역 분할되고, 분할된 존은 각각 제어 가능하게 해도 무방하다.
상기 전극부는 상기 피처리체의 상측에 위치하는 상측 전극부로 할 수도 있다. 또한, 상기 전극부는 상기 피처리체를 탑재하는 탑재대를 겸하는 하측 전극부이며, 또한 이 하측 전극부의 표면에 접합되어 상기 피처리체를 흡착하는 정전 척과, 상기 정전 척과 상기 피처리체 사이에 형성되는 척측 전열 공간에 전열 가스를 공급하는 척측 전열 가스 공급 수단을 구비하도록 해도 무방하다.
또한, 상기 전극측 전열 공간, 상기 래버린스(labyrinth) 전열 공간 및 상기 척측 전열 공간중의 적어도 하나의 공간내에 내열 압력 센서가 마련되어 있고, 이 내열 압력 센서의 출력에 근거하여 상기 대응하는 전열 가스 공급 수단의 가스 공급량을 제어하도록 해도 무방하다. 이에 따르면, 내열 압력 센서에 의해 제어 대상 공간의 압력을 직접 측정하기 때문에, 가스압의 제어성을 향상시킬 수 있다.
또한, 상기 전극부의 중심은 내부가 공중상의 지주에 의해 지지되어 있고,상기 지주내에는 상기 전극부의 이면 중심부를 향해 기체를 내뿜어 탈열을 촉진시키는 기체 블로워 수단이 마련되어 있도록 해도 무방하다. 이에 따르면, 온도가 높아지는 경향이 있는 전극부의 중심부의 탈열을 촉진시킬 수 있기 때문에, 피처리체의 온도 분포의 면내 균일성을 향상시키는 것이 가능해진다.
또한, 상기 전극부의 중심은 지주에 의해 지지되어 있고, 이 지주는 상기 냉각 블록에 열 전도성 부재를 거쳐 접속되어 있도록 해도 무방하다. 이 경우에도, 탑재대 중심부의 탈열을 촉진할 수 있기 때문에, 피처리체의 온도 분포의 면내 균일성을 향상시키는 것이 가능해진다.
또한, 본 발명의 다른 실시예에 의하면, 진공흡인 가능하게 된 처리 용기내에서 피처리체에 대하여 소정의 처리를 실행하는 처리 장치에 이용되는 탑재대 구조체에 있어서, 상기 피처리체를 가열하기 위한 가열 히터부를 내부에 갖는 탑재대와, 상기 탑재대에 접합되어 내부에 상기 탑재대를 냉각하는 냉각 재킷을 갖는 냉각 블록과, 상기 탑재대와 상기 냉각 블록 사이에 형성되는 좁은 간격의 전열 공간을 밀봉하기 위한 내열성 메탈 밀봉 부재와, 상기 전열 공간에 전열 가스를 공급하는 전열 가스 공급 수단을 구비한 것을 특징으로 하는 탑재대 구조체가 제공된다.
이와 같이, 내열성 메탈 밀봉 부재를 이용함으로써, 200℃ 이상, 예컨대 350 내지 500℃ 정도의 고온 영역에 있어서도 상기 전열 공간의 밀봉성을 높게 유지하는 것이 가능해진다.
또한, 본 발명의 다른 실시예에 의하면, 진공흡인 가능하게 된 처리 용기내에서 피처리체에 대하여 소정의 처리를 실행하는 처리 장치에 이용되는 탑재대 구조체에 있어서, 상기 피처리체를 가열하기 위한 가열 히터부를 내부에 갖는 탑재대와, 상기 탑재대에 접합되어 내부에 상기 탑재대를 냉각하는 냉각 재킷을 갖는 냉각 블록과, 상기 탑재대와 상기 냉각 블록과의 접합 대향면중의 적어도 한쪽에 동심원상 또는 나선상으로 마련된 홈부에 의해 형성된 래버린스 전열 공간과, 래버린스 전열 공간에 전열 가스를 공급하는 전열 가스 공급 수단을 구비한 것을 특징으로 하는 탑재대 구조체가 제공된다.
이와 같이, 탑재대와 냉각 블록과의 접합면에 래버린스 전열 공간을 마련함으로써, 200℃ 이상, 예컨대 350 내지 500℃ 정도의 고온 영역에 있어서도 상기 탑재대와 상기 냉각 블록 사이에 형성되는 전열 공간의 밀봉성을 높게 유지하는 것이 가능해진다.
또한, 본 발명의 또 다른 실시예에 의하면, 진공흡인 가능한 처리 용기와, 상술한 본 발명에 의한 전극 구조체와, 이 전극 구조체에 고주파 전압을 인가하는 고주파 전원을 구비한 플라즈마 처리 장치가 제공된다.
또한, 본 발명의 다른 실시예에 의하면, 진공흡인 가능한 처리 용기와, 본 발명에 의한 탑재대 구조체를 구비한 것을 특징으로 하는 처리 장치가 제공된다.
본 발명은 플라즈마 처리 장치 등의 처리 장치에 관하며, 특히 플라즈마 처리 장치에 적절한 전극 구조체 및 탑재대 구조체에 관한 것이다.
도 1은 종래의 플라즈마 CVD 처리 장치의 개략 구성도,
도 2는 본 발명의 일 실시예에 따른 플라즈마 처리 장치의 구성도,
도 3a 내지 도 3e는 내열성 메탈 밀봉 부재를 도시하는 단면도,
도 4는 본 발명에 의한 전극 구조체(하측 전극부측)의 일 실시예의 전열 가스의 공급 시스템을 도시하는 도면,
도 5는 내열 압력 센서의 개략 구성도,
도 6은 본 발명의 전극 구조체(상측 전극부측)의 일 실시예의 전열 가스의 공급 시스템을 도시하는 도면,
도 7은 절연체의 온도차에 의한 휘어짐을 설명하기 위한 도면,
도 8은 절연체의 열 전도도와 휘어짐 양의 관계를 도시하는 그래프,
도 9a 내지 도 9d는 여러 폭의 가스 홈을 부재의 접촉면에 마련한 때의 접촉율을 설명하기 위한 도면,
도 10은 접촉율에 대한 열 저항과 온도 분포의 편차의 관계를 나타내는 그래프,
도 11은 하측 전극부의 하면과 하측 절연체의 표면 사이에 형성되는 전열 공간을 나타내는 모식도,
도 12는 도 2에 도시하는 전극 구조체를 모식적으로 나타내는 도면,
도 13은 절연체의 표면 거칠기(Ra)와 열 저항과의 관계를 나타내는 그래프,
도 14는 경상면 다듬질된 전극부의 하면과 절연체의 표면을 나타내는 모식도,
도 15a는 내열성 메탈 밀봉 부재의 표면에 연화 금속막을 형성한 상태를 나타내는 도면,
도 15b는 도 15a에 나타내는 상태에 있어서 연화 금속막이 연화된 상태를 나타내는 도면,
도 16a는 내열성 메탈 밀봉 부재가 접합하는 부재의 표면에 연화 금속층을 형성한 상태를 나타내는 도면,
도 16b는 도 16a에 도시하는 상태에 있어서 연화 금속막이 연화된 상태를 나타내는 도면,
도 17a 및 도 17b는 내열성 메탈 밀봉 부재의 표면에 불화 부동체막을 형성한 상태를 나타내는 도면,
도 18은 지주내에 기체 블로워 수단을 마련한 상태를 나타내는 도면,
도 19는 반도체 웨이퍼의 면내 온도 분포를 나타내는 그래프,
도 20은 지주와 냉각 블록 사이를 열전도성 부재로 접합한 상태를 나타내는 도면,
도 21은 하측 전극부의 홈부의 변형예를 나타내는 평면도,
도 22a 및 도 22b는 래버린스 전열 공간을 나타내는 부분 확대 단면도,
도 23은 가열 히터부의 변형예를 나타내는 도면,
도 24는 본 발명에 의한 열 CVD 처리 장치의 구성도.
이하, 도면을 참조하면서 본 발명의 일 실시예에 의한 플라즈마 처리 장치에 관해서 설명한다.
도 2는 본 발명에 일 실시예에 따른 플라즈마 처리 장치의 구성도이다. 도 3a 내지 도 3e는 내열성 메탈 밀봉 부재를 도시하는 단면도이다. 도 4는 본 발명에 의한 전극 구조체(하측 전극부측)의 일 실시예의 전열 가스의 공급 시스템을 도시하는 도면이다. 도 5는 내열 압력 센서의 개략 구성도이다. 도 6은 본 발명의 전극 구조체(상측 전극부측)의 일 실시예의 전열 가스의 공급 시스템을 도시하는 도면이다.
도시하는 바와 같이, 플라즈마 처리 장치(24)는, 예컨대 알루미늄으로 구성되는 원통체상의 처리 용기(26)를 갖고 있다. 처리 용기(26)속의 바닥부측에는 피처리체로서의 반도체 웨이퍼(W)를 탑재하는 탑재대로도 기능하는 하부 전극 구조체(28)가 마련된다. 처리 용기(26)의 천정부에는 상부 전극 구조체(30)가 마련된다.
처리 용기(26)의 측부에는 반도체 웨이퍼(W)를 처리 용기(26)내로 반입 반출할 때에 개폐되는 게이트 밸브(32)와, 실란이나 산소 등의 성막 가스 등의 각종 가스를 도입하는 가스 노즐(34)이 마련된다. 한편, 이 가스 노즐(34)로 전환하여, 상기 상부의 전극 구조체(30)의 하면에, 예컨대 석영제의 샤워 헤드를 마련하도록 해도 좋다.
처리 용기(26)의 하부 측벽에는 도시하지 않은 진공 펌프 등을 포함하는 진공 배기 시스템에 접속된 배기구(36)가 마련되어 있고, 처리 용기(26)내를 진공흡인 가능하게 하고 있다.
상기 하부의 전극 구조체(28)는 탑재대로서 기능하는 하측 전극부(38)와 하측 전극부(38)의 하측에 배치되는 냉각 블록(40)과, 하측 전극부(38)와 냉각블록(40) 사이에 개재되어 양자를 전기적으로 절연하는 하측 절연체(42)로 주로 구성되어 있다. 구체적으로는, 상기 하측 전극부(38)는 알루미늄으로 구성된다.
하측 전극부(38) 속에는 소용돌이 형상 또는 동심원상으로 권취된 시스 히터(가열 히터)(44)가 주입에 의해 설치되어 있고, 웨이퍼(W)를 가열할 수 있게 되어 있다. 그리고, 하측 전극부(38)의 표면에는 내부에 척 전극을 설치한 세라믹제의 정전 척(46)이 납땜 등에 의해 접합되어 있다. 피처리체인 웨이퍼(W)는 정전 척(46)에 의해 흡착되어 유지된다. 그리고, 하측 전극부(38)의 하측으로 연장되는 도전성의 지주(48)는 용기 바닥부(50)를 관통하여 연재되어 있다. 지주(48)에는 리드선(52)을 개재하여 매칭 회로(54) 및 바이어스용 고주파 전원(56)이 접속되어 있다. 지주(48)에는 승강 기구(도시하지 않음)가 장착되어 있고, 지주(48)를 승강 이동한다.
냉각 블록(40)은 상기 하측 전극부(38)와 같이 알루미늄에 의해 구성되어 있고, 내부에는 냉매를 흐르게 하기 위한 중공 링상의 냉각 재킷(58)이 형성되어 있다. 그리고, 이 냉각 재킷(58)으로 냉매를 흐르게 함으로써, 상기 하측 전극부(38)를 거쳐 웨이퍼(W)를 냉각하도록 되어 있다. 실제로는 이 냉각 재킷(58)과 가열 히터부(44)를 선택적으로, 또는 동시에 구동함으로써, 웨이퍼 온도를 적정값으로 되도록 제어한다. 그리고, 냉각 블록(40)의 하면과 용기 바닥부(50)는 신축 가능하게 된 벨로우즈(60)에 의해 접합되어 있고, 하부의 전극 구조체(28)에 대하여 처리 용기(26)내의 기밀성을 유지한 채로 상하 이동을 허용하고 있다.
또한, 하측 전극부(38)와 냉각 블록(40) 사이에 개재되는 하측 절연체(42)는 두께가 20㎜ 정도의 링상의 석영 유리로 구성된다. 하측 절연체(42)는 상기 하측 전극부(38)로부터 아래쪽으로 연장되는 지주(48)의 외주도 피복되어 있고, 지주(48)도 절연되어 있다.
그리고, 하측 전극부(38)와 하측 절연체(42) 및 하측 절연체(42)와 냉각 블록(40)은 같이 상하에 접합되지만, 이들 사이에 각각 좁은 간격의 공간, 즉 상측 전극측 전열 공간(상측 공간)(62)과 하측 전극측 전열 공간(하측 공간)(64)이 형성된다. 이들 양 전열 공간(62, 64)이 존재하면, 양 전열 공간(62, 64)은 처리 용기(26)내로 연통해서 있는 것부터 플라즈마 처리시에 양 전열 공간(62, 64)내가 진공 상태로 되어 상하 방향으로의 전열 효율이 저하한다. 그 때문에, 링상의 양 전열공간(62, 64)의 내주측 및 외주측에는 각각 링상으로 내열성 메탈 밀봉 부재(66A, 66B, 68A, 68B)가 개재되어 있고, 양 전열 공간(62, 64)의 기밀성을 높게 유지하고 있다. 또한, 밀봉 부재(66A, 66B, 68A, 68B)의 기밀성을 높게 유지해도, 조금씩 가스가 누출되는 것은 피할 수 없기 때문에, 양 전열 공간(62, 64)에는 각각 가스 공급 통로(70, 72)가 접속되어 있고, 후술한 바와 같이 압력 제어된 Ar, He, N2등의 불활성 가스로 구성되는 전열 가스, 예컨대 N2가스가 공급되게 되어 있다.
또한, 상기한 바와 동일한 이유로, 플라즈마 처리시에 있어서의 하측 전극부(38) 및 정전 척(46)측으로부터 웨이퍼(W)로의 전열 효율을 높이기 위해서,정전 척(46)의 표면과 웨이퍼(W)의 이면 사이에 형성되는 척측 전열 공간(74)에도 전열 가스를 공급하는 2개의 가스 공급 통로(76, 78)가 마련된다. 이 경우, 척측 전열 공간(74)에서의 가스 확산 속도가 느리기 때문에, 예컨대 한쪽 가스 공급 통로(76)는 정전 척(46)의 중심측에 공급하기 위해 이용하고, 다른쪽의 가스 공급 통로(78)는 정전 척(46)의 주변측에 공급하기 위해 이용한다. 한편, 척측 전열 공간(74)으로의 가스 공급 형태는 이에 한정되지 않는다.
다음으로, 내열성 메탈 밀봉 부재(66A, 66B, 68A, 68B)의 구조에 관해서 도 3a 내지 3e를 참조하면서 설명한다. 밀봉 부재(66A, 66B, 68A, 68B) 각각의 구조는 같기 때문에, 밀봉 부재(66A)를 예로 들어 설명한다.
도 3a에 도시하는 내열성 메탈 밀봉 부재(66A)는 스테인리스강, 인코넬(Inconel)(상품명), 하스텔로이(Hastelloy)(상품명) 등의 금속으로 구성되는 두께가 0.1 내지 1.0㎜ 정도의 얇은 내열성 금속막(79)을 단면 원형의 링상으로 형성하여 구성되어 있다. 이 단면의 지름(L1)은 3 내지 4㎜ 정도이며, 가압력에 대하여 탄력성을 갖게 하고 있다.
도 3b에 도시하는 내열성 메탈 밀봉 부재(66A-1)는, 도 3a에 도시하는 내열성 금속막(79)의 단면 형상의 측면의 일부에 결각(80)을 마련한 것이다. 결각(80)은 링상의 밀봉 부재(66A-1)의 원주 방향을 따라 형성되어 있고, 밀봉 부재(66A-1)로 탄성을 부여하고 있다.
도 3c에 도시하는 내열성 메탈 밀봉 부재(66A-2)는, 도 3b에 도시한 바와 같은 단면 형상의 내열성 금속막(79)의 내부 공간부에, 용수철용 스테인리스강 등으로 구성되는 코일상 또는 판 용수철상의 스프링 부재(82)를 삽입한 것이다. 스프링 부재(28)에 의해 가압된 때의 탄성력을 높여 밀봉성을 향상시키게 되고 있다.
도 3d에 도시하는 내열성 메탈 밀봉 부재(66A-3)는, 도 3a에 도시하는 단면 원형의 내열성 금속막(79)의 내부에, 프로세스 온도보다도 낮은 온도로 용융하는 저융점 재료(84)를 봉입하여 표면의 모방성을 양호하게 하고 있다. 이 저융점 재료(84)로는 예컨대 약 156.4℃의 융점을 갖는 인듐이나 약 150°의 융점을 갖는 땜납 등을 이용할 수 있다. 이 경우, 금속막(79)의 두께는 탄력성보다도 모방성을 중시하기 때문에 매우 얇게 하고 있고, 예컨대 10㎛ 내지 500㎛ 정도의 두께로 설정된다.
도 3e에 도시하는 내열성 메탈 밀봉 부재(66A-4)는, 도 3b에 도시하는 바와 같은 일부에 결각(80)을 마련한 단면 형상의 내열성 금속막(79)에 더욱 내측으로 굴곡시킨 볼록부(86)를 마련하고 있고, 탄력성을 높여 밀봉성을 향상하도록 한 것이다. 내열성 메탈 밀봉 부재(66A 내지 68B)로는, 상술한 구조중 어느 것을 이용해도 좋다.
다음으로, 도 4를 참조하여 전극측 전열 공간(62, 64) 및 척측 전열 공간(74)으로의 전열 가스의 공급 시스템에 관해서 설명한다. 도 4에 도시하는 바와 같이, 각 전극측 전열 공간(62, 64) 및 척측 전열 공간(74)으로 연통되는 각 가스 공급 통로(70, 72, 76, 78)에는, 각각 매스플로우 제어기와 같은 유량 제어기기(88A 내지 88D)가 마련되어 있다. 각 가스 공급 통로(70, 72, 76, 78)는전열 가스원인 N2가스원(90, 92)에 접속되어 있고, 각각 전극측 전열 가스 공급 수단(94)과 척측 전열 가스 공급 수단(96)을 구성하고 있다. 한편, N2가스원(90, 92)은 공용해도 무방하다.
그리고, 각 유량 제어 기기(88A, 88D)는 각 전열 공간(62, 64, 74)에 마련되는 내열 압력 센서(98A 내지 98D)의 검출값에 근거하여 제어부(100)에 의해 제어된다. 구체적으로는, 각 가스 공급 통로(70, 72, 76, 78)의 가스 출구(70A, 72A, 76A, 78A)의 근방에 상기 각 내열 압력 센서(98A 내지 98D)가 마련되어 있고, 대응하는 공간부분의 압력을 검출하도록 되어 있다. 한편, 도 4의 참조부호(66C 내지 66G)는 상술한 바와 동일한 구조의 내열성 메탈 밀봉 부재이며, 각 가스 공급 통로를 밀봉하고 있다. 여기서 상기 각 내열 압력 센서(98A 내지 98D)는 모두 동일한 구조로 되어 있고, 이 센서 구조를 센서(98A)를 예로 들어 도 5를 참조하면서 설명한다.
도 5에 도시하는 바와 같이, 내열 압력 센서(98A)가 장착되는 베이스로서 하측 절연체(42)의 표면에 오목부(102)가 형성된다. 홈부(102)의 개구 부분을 굴곡 가능한 세라믹판, 또는 금속판으로 구성되는 베이스판(104)에 의해 피복하고, 납재(106) 등에 의해 기밀하게 접합한다. 이때, 오목부(102)내를 소정의 압력(예컨대 대기압 정도)으로 해둔다. 베이스판(10)의 표면에는 미리, 예컨대 니켈과 크롬의 합금 등으로 구성되는 저항 패턴(108)이 베이스판(104)에 대해 절연 상태(베이스가 금속인 경우)로 형성되어 있고, 베이스판(104)의 굴곡 변형(도면에 점선으로 나타냄)에 추종하여, 이 저항 패턴(108)도 신축하여 저항 변화가 생기도록 되어 있다. 그리고, 이 신축에 따른 미소한 저항 변화를 리드선(110)을 개재하여 제어부(100)로 전기적으로 검출하도록 되어 있다. 이 미소한 저항 변화는, 예컨대 휘트스톤 브리지 회로(Wheatstone bridge circuit)를 이용하여 검출하면 좋다. 한편, 이 경우 제어부(100)에는 도시하지 않은 온도 보상 회로를 마련하여, 온도 변화에 따라 발생하는 저항 패턴(108)의 저항 변화를 캔슬하도록 되어 있다. 또한, 내열 압력 센서(98A)의 구성은, 본 발명 장치의 프로세스 온도를 견딜 수 있으면, 상술한 바와 같은 구성에 한정되지 않는다.
다음으로, 도 2로 되돌아가, 상부의 전극 구조체(30)에 관해 설명한다. 이 상부 전극 구조체(30)의 기본적 구조는 상술한 하부의 전극 구조체(28)와 같다. 즉, 이 상부의 전극 구조체(30)는 상측 전극부(110)와 상측 전극부(110)의 상측에 배치되는 냉각 블록(112)과, 상측 전극부(110)와 냉각 블록(112) 사이에 개재되어 양자를 전기적으로 절연하는 상측 절연체(114)로 주로 구성되어 있다. 구체적으로, 상측 전극부(110)는 알루미늄에 의해 형성되어 있다. 상측 전극부(110) 속에는 앞서 설명한 하측 전극부(38)내의 가열 히터부(44)와 같은 구조의 소용돌이 형상 또는 동심원상으로 권취된 가열 히터부(116)가 내장되어 있다. 그리고, 이 상측 전극부(114)의 상측으로 연장되는 도전성의 지주(118)는 용기 천정부를 관통하여 연재되어 있다. 지주(118)에는 리드선(120)을 거쳐 매칭 회로(122) 및 플라즈마 발생용의 고주파 전압을 인가하는 플라즈마 발생용 고주파 전원(124)이 접속되어 있고, 처리 공간에 플라즈마를 발생시키는 구성으로 되어 있다.
냉각 블록(112)은 하측 전극부(38)와 같이 알루미늄에 의해 구성되어 있다. 냉각 블록(112)의 내부에는 냉매를 흐르게 하기 위한 중공 링상의 냉각 재킷(126)이 형성되어 있다. 그리고, 이 냉각 재킷(126)에 냉매를 흐르게 함으로써, 상기 상측 전극부(110)를 냉각하여 이것을 일정 온도, 예컨대 하측 전극부(38)와 같은 온도로 유지하도록 되어 있다. 실제로 이 냉각 재킷(126)과 가열 히터부(116)를 선택적으로, 또는 동시에 구동함으로써, 상부 전극 온도를 적정값이 되도록 제어하고 있다. 이와 같이, 상측 전극부(110)의 온도를 제어하는 이유는 상측 전극부(110)가 승온하여 웨이퍼 또는 하측 전극부(38)보다 고온이 되면, 양자간에 열 복사가 일어나 열 변동의 원인이 되기 때문이다.
또한, 상측 전극부(110)와 냉각 블록(112) 사이에 개재되는 상측 절연체(114)는 두께가 20㎜ 정도의 링상의 석영 유리로 구성된다. 상측 절연체(114)는 상기 상측 전극부(110)로부터 상측으로 연장되는 지주(118)의 외주도 피복하고 있으며, 이 지주(118)도 절연하고 있다.
상측 전극부(110)와 상측 절연체(114) 및 상측 절연체(114)와 냉각 블록(112)은 함께 상하에 접합되지만, 이들 사이에 각각 좁은 간격의 공간, 즉 상측 전극측 전열 공간(상측 공간)(128)과 하측 전극측 전열 공간(하측 공간)(130)이 형성된다. 그 때문에, 하부 전극 구조체(28)의 경우와 같이, 링상의 양 전열 공간(128, 130)의 내주측 및 외주측에는 각각 링상으로 내열성 메탈 밀봉 부재(132A, 132B, 134A, 134B)가 개재되어 있고, 양 전열 공간(128, 130)의 기밀성을 높게 유지하고 있다. 또한, 양 전열 공간(128, 130)에는 각각 가스 공급통로(136, 138)가 접속되어 있고, 이하에 설명한 바와 같이 압력 제어된 Ar, He, N2등의 불활성 가스로 구성되는 전열 가스, 예컨대 N2가스를 공급할 수 있게 되어 있다. 즉, 도 6에 도시하는 바와 같이, 각 전극측 전열 공간(128, 130)으로 연통되는 각 가스 공급 통로(136, 138)에는 각각 매스플로우 제어기와 같은 유량 제어기기(88E, 88F)가 마련되어 전열 가스원인 N2가스원(140)에 접속되어 있고, 전극측 전열 가스 공급 수단(142)을 구성하고 있다.
그리고, 각 유량 제어 기기(88E, 88F)는 각 전열 공간(128, 130)에 마련되는 내열 압력 센서(98E, 98F)의 검출값에 근거하여, 제어부(144)에 의해 제어된다. 한편, 이 제어부(144)는 앞의 제어부(100)와 공용해도 무방하다. 구체적으로, 각 가스 공급 통로(136, 138)의 가스 출구(136A, 138A)의 근방에 상기 각 내열 압력 센서(98E, 98F)는 마련되어 있고, 대응하는 공간 부분의 압력을 검출하도록 되어 있다. 이들 각 센서(98E, 98F)의 구성은 앞서 도 5에 있어서 설명한 구성과 동일하다.
다음으로, 이상과 같이 구성된 플라즈마 처리 장치의 동작에 관해서 설명한다.
우선, 하부의 전극 구조체(28)를 소정의 반출 반입 위치까지 하측으로 강하시킨 상태로, 개방 상태로 되어 있는 게이트 밸브(32)를 거쳐 반도체 웨이퍼(W)를 처리 용기(26)내로 반입한다. 그리고, 웨이퍼(W)를 탑재대를 겸하는 예열된 하측 전극부(38)의 표면에 탑재하여, 정전 척(46)에 의해 웨이퍼(W)를 흡착 유지한다.여기서 하부 전극부(38)나 상부 전극부(110)는 출력을 향상시키기 위해 미리 약 350 내지 500℃로 예비 가열되고 있다.
그리고, 이 상태로 하부의 전극 구조체(28)를 소정의 프로세스 위치까지 상승시킨다. 이와 동시에, 하측 전극부(38)의 가열 히터부(44) 및 상측 전극부(110)의 가열 히터부(116)로의 공급 전력을 크게 하고, 웨이퍼(W)를 소정의 프로세스 온도, 예컨대 500℃ 정도까지 승온한다. 한편, 미리 프로세스 온도까지 가열되어 있는 경우에 승온은 불필요하다. 그리고, 가스 노즐(34)로부터 소정의 성막 가스, 예컨대 SiH4, SiF4, O2등을 처리 공간에 공급한다. 그리고, 진공 펌프(도시하지 않음)를 구동하여 처리 용기(26)내의 분위기를, 배기구(36)로부터 진공흡인하여 처리 용기(26)내의 압력을 소정의 프로세스 압력으로 유지한다.
그리고, 플라즈마 발생용 고주파 전원(124)으로부터 예컨대 13.56㎒의 플라즈마 발생용의 고주파 전압을 상측 전극부(110)에 인가한다. 이와 동시에, 바이어스용 고주파 전원(56)으로부터 하측 전극부(38)에 바이어스용 고주파 전압을 인가한다. 이에 따라, 처리 공간에는 플라즈마가 생성되고 성막 가스는 활성화되어, 웨이퍼(W)의 표면에 예컨대 SiOF 등의 소정의 막이 생성된다.
이렇게 하여, 플라즈마 처리가 개시되면, 이 플라즈마 자체에 의해 웨이퍼(W) 및 상측 전극부(110)가 점차 가열된다. 웨이퍼(W)의 과열을 방지하기 위해, 각 냉각 블록(40, 112)에 마련한 냉각 재킷(58, 126)에 냉매를 흐르게 하고, 이들 냉각 재킷(58, 126)과 가열 히터부(44, 116)를 적절히 제어하여 웨이퍼(W)의온도가 프로세스 온도로 유지되도록 제어한다. 한편, 냉각 재킷(58, 126)의 냉매로는, 물 또는 거덴(Gurden)(상품명) 등을 이용할 수 있다.
이러한 상황하에 있어서, 웨이퍼(W)의 온도 제어성을 유지하기 위해, 상기 각 전열 공간(62, 64, 74, 128, 130)에 대응하는 각 가스 공급 수단으로부터 전열 가스로서 Ar 가스가 공급되어, 이 전열 공간내를 예컨대 10 내지 20Torr 정도의 일정한 압력으로 유지하도록 되어 있다.
여기서, 각 전열 공간을 밀봉하기 위해, 도 3a 내지 도 3e에 도시한 바와 같은 구조의 내열성 메탈 밀봉 부재(66A, 66B, 68A, 68B, 132A, 132B, 134A, 134B)를 이용하고 있기 때문에, 프로세스 온도가 500℃ 정도까지 상승해도 밀봉성을 높게 유지하여 처리 용기(26)내로 누출되는 전열 가스를 억제할 수 있다. 따라서, 전열 가스를 그 정도 소비하지 않고 높은 전열 효율을 유지하면서 웨이퍼(W)의 온도 제어를 정밀도 좋게 실행할 수 있다. 또한, 각 전열 공간(62, 64, 74, 128, 130)에는 500℃ 정도의 고온에도 견딜 수 있는 도 5에 도시한 바와 같은 구성의 내열 압력 센서(98A 내지 98F)가 마련되어 있다. 내열 압력 센서(98A 내지 98F)는 전열 공간의 압력을 검출하여, 이것이 소정의 일정값을 유지하도록 전열 가스의 유량을 제어하고 있기 때문에, 높은 정밀도로 가스 압력을 제어할 수 있다. 특히, 상술한 바와 같이, 압력 제어의 대상이 되는 각 전열 공간의 압력을 각 센서에 의해 직접 검출하도록 되어 있기 때문에, 가스 공급 시스템 도중의 가스압을 검출하여 압력 제어한 종래 방법과 달리 응답이 빠르며, 또한 보다 정밀도가 높은 압력 제어를 할 수 있다.
특히, 정전 척(46)의 표면의 웨이퍼(W)에 위치 어긋남이 생기거나, 먼지가 삽입되어 웨이퍼(W)가 적절히 탑재되어 있지 않은 경우에는 전열 가스를 공급해도 이 부분의 척측 전열 공간(74)의 압력은 신속하게는 소정의 값까지 상승하지 않는다. 이에 따라, 이 현상을 응답 속도가 빠른 상기 센서(98C 또는 98D)이면 즉시 검출하여 웨이퍼(W)의 탑재 불량 등을 인식 판별할 수 있다.
또한, 하측 절연체(42) 또는 상측 절연체(114)를 마련함으로써, 각 냉각 재킷(58, 126)에 흐르는 냉매로서 절연성이 높지 않은 냉매(예컨대 물)를 이용하는 경우에도, 이 냉매를 거쳐 외부(냉매의 순환기 등)로 고주파 전류가 누출되는 것을 방지하여, 고주파 전력을 플라즈마 생성을 위해 효율적으로 사용할 수 있다.
다음으로, 하측 절연체(42) 및 상측 절연체(114)의 재질에 관해 도 7 및 도 8을 참조하면서 설명한다.
도 7은 절연체가 휘어진 상태를 설명하기 위한 설명도, 도 8은 절연체의 열 전도도와 휘어짐 양(변형량)의 관계를 나타내는 그래프이다.
도 2에 나타내는 실시예로는 절연체(42, 114)로서 단순한 석영 유리를 이용하고 있다. 석영 유리는 열 전도도가 낮기 때문에, 예컨대 하측 절연체(42)를 예로 들면 그 표면이 고온의 하측 전극부(38)와 접하여 하면이 냉각 블록(40)에 접함으로써 표면과 하면 사이에 큰 온도차가 생긴다. 이 결과, 예컨대 온도차가 없는 때에는, 도 7의 (A)에 도시하는 바와 같이 하측 절연체(42)는 평탄하다. 그러나, 도 7의 (B)에 도시하는 바와 같이, 예컨대 표면이 200℃, 하면이 50℃와 같이 150℃ 정도의 온도차가 생기면, 하측 절연체(42)는 상하면의 열팽창 차이에 의해, 이경우에는 하측으로 굽어지고 휘어진다. 이 때의 휘어짐 양은 이 절연체(42)를 구성하는 재료의 열 전도율, 열팽창 계수, 치수 형상 등에 의해 결정된다. 이러한 휘어짐 양이 크면, 상하 양면이 상측 전극부(38)나 냉각 블록(40)과 균등하게 접하지 않고 치우쳐서 접촉하게 되고, 이 결과, 하측 전극부(38)로부터 열이 그 면내 균일하게 탈열되어 없어지며, 웨이퍼 온도로 치우쳐 웨이퍼 온도의 면내 균일성이 열화하는 경향이 된다.
그래서, 본 실시예로는 하측 절연체(42)로서 열 전도도가 양호한 것을 이용하고 있다. 즉, 본 실시예로 허용되는 휘어짐 양(ΔL)을 예컨대 15㎛로 설정하면, 열 전도도가 80W/mK(미터 켈빈) 이상의 재료를 이용한다. 이러한 재료로는 절연성이 있고, 열 전도도가 80W/mK 이상이면 어떤 재료라도 무방하지만, 예컨대 세라믹재인 질화 알루미늄(AlN)을 이용할 수 있다.
도 8은 절연체의 열 전도도와 휘어짐 양의 관계를 나타내는 그래프이다. 도 8의 그래프로부터, 열 전도도가 80W/mK 이상이면 휘어짐 양은 허용량의 15㎛ 이하로 된다는 것이 판명된다. 이 때의 실험 조건은 절연체의 지름은 230㎜, 두께는 12㎜, 표면으로부터의 입열은 1500W이었다. 또한, 절연체의 특성에 관해서는, 양률이 300㎬, 프아송비가 0.33, 열팽창 계수가 5.0×10-6K-1이었다. 이와 같이, 열 전도도가 높은 재료로 절연체(42)를 형성함으로써, 상하면 사이의 온도 차이가 적어져, 결과적으로 그 휘어짐 변형량을 억제하는 것이 가능해진다. 이 결과, 이 절연체(42)가 상하의 부재와 치우쳐 접촉하지 않게 되므로, 웨이퍼 온도의 면내 균일성을 높게 유지하는 것이 가능해진다.
이러한 현상은 하측 절연체(42) 뿐만 아니라, 상측 절연체(114)에도 해당되기 때문에(휘어짐 방향은 상술한 것과는 역방향으로 됨), 상측 절연체(114)도 열 전도도가 80W/mK 이상인 질화 알루미늄으로 구성하는 것이 바람직하다.
다음으로, 하측 절연체(42) 및 상측 절연체(114)의 면 구조에 관해, 도 9a 내지 도 9d 및 도 10을 참조하면서 설명한다.
도 2, 도 4 및 도 6에 있어서는, 이해를 용이하게 하기 위해, 각 전열 공간(62, 64, 128, 130)의 폭을 실제보다 크게 나타내고 있다. 실제로 각 밀봉 부재는 오목부 형상의 홈내에 설치되어 있다. 또한, 구조물은 높이 방향으로 높은 압력으로 압박 고정되어 있기 때문에, 상기 각 전열 공간의 극간은 거의 생기지 않지만, 마이크로적으로 보면, 이들 각 전열 공간을 구획하는 각 부재의 접합면은 불규칙한 다수의 점 접촉 상태가 되어, 그 극간을 불규칙한 경로를 따라 전열 가스가 흐르게 된다. 그 때문에, 상하 방향으로의 전열 효율이 장소에 따라 다르기 때문에, 웨이퍼 온도의 면내 균일성이 열화하는 경향이 된다.
그래서, 이 실시예로는 각 전열 공간(62, 64, 128, 130)을 구획하는 바와 같이 상하로 접합되는 접합면에 전열 가스가 흐르는 가스 홈을 면내에 대략 균일하게 마련하고 있다. 그리고, 그 양 접합면이 접하는 접촉율을 예컨대 40 내지 80%의 범위내로 설정하도록 하고 있다. 즉, 예컨대 하측 절연체(42)의 표면 또는 하면, 또는 그 쌍방에 면내 전체에 걸쳐 메쉬상, 또는 격자상으로 소정 폭의 가스 홈(200)(도 9a 내지 도 9d 참조)을 새겨 형성한다. 따라서, 전열 가스는 이 가스 홈(200)을 따라 흐르기 때문에, 절연체(42)의 전면에 걸쳐 대략 균등하게 전열 가스가 걸치게 된다. 이 결과, 절연체의 대략 전면에 있어서 상하 방향의 전열 효율이 균일하게 되어, 웨이퍼의 온도 분포의 균일성을 개선하는 것이 가능해진다. 이 경우, 접촉율이 과도히 작아지면, 상하 방향에서의 열 저항이 지나치게 거쳐, 웨이퍼의 온도 제어성이 열화하기 때문에, 그 접촉율의 하한은 상술한 바와 같이 40% 정도이다.
여기서, 적절한 접촉율을 실험으로 구했기 때문에, 그 결과에 관해서 도 9a 내지 도 9d 및 도 10을 참조하여 설명한다. 도 9a 내지 도 9d는 각종 폭의 가스 홈을 부재의 접촉면에 마련했을 때의 각종 접촉율을 나타내는 도면이다. 도 10은 접촉율에 대한 열 저항과 온도 분포의 편차의 관계를 나타내는 그래프이다. 여기서 접촉율이란 절연체의 표면에 가스 홈을 형성했을 때의 가스 홈의 형성 면적에 대한 나머지 면적의 비를 나타낸다. 도 9a 내지 도 9d에는 가스 홈(200)이 형성되고 절연체(42)의 표면의 일부가 도시되어 있으며, 정방형의 부분이 접촉면으로 되어 있다. 여기서 접촉면(202)의 한 변과 가스 홈(200)의 폭의 비가 7:1인 때는 접촉율은 77%(도 8A 참조)로 된다. 상기 비가 2:1인 때는 접촉율이 44%(도 8B 참조)로 된다. 또한, 상기 비가 1:2인 때는 접촉율은 11%(도 8C 참조)로 된다. 또한, 상기 비가 1:5인 때는 접촉율은 2.7%(도 8D 참조)로 된다. 한편, 가스 홈(200)을 조금도 마련하지 않을 때의 접촉율은 100%이다.
이상과 같이 하여 정해지는 접촉율을 각종 변경하여 열 저항과 웨이퍼면내의 온도 분포의 편차(ΔT)를 조사한 결과가 도 9a 내지 도 9d에 도시되어 있다. 한편, 온도 분포의 편차는 웨이퍼면내의 온도의 최고값과 최소값의 차를 들고 있다.
여기서, 프로세스의 종류에도 의하지만, 일반적인 열처리로 온도 분포의 편차는 30℃ 이내인 것이 요구된다. 또한, 열 저항이 과도하게 크면, 전극으로부터의 냉각이 원활히 실행되지 않게 되므로, 가열 히터의 파워나 냉각 블록의 냉매 유량 등을 제어해도 그 반응이 둔해지고, 웨이퍼의 온도 제어성이 열화하게 되므로, 그 상한은 0.1K/W 정도이다. 따라서, 양자를 만족하기 위해서는 접촉율을 40 내지 80%의 범위내로 설정해야 한다는 것이 판명되었다.
이와 같이, 본 실시예 의하면, 열 저항을 과도히 높게 하지 않고 전열 가스를 면내 방향으로 대략 균일하게 흐르게 할 수 있으므로, 웨이퍼의 면내 온도 분포의 균일성을 더욱 개선하는 것이 가능해진다.
한편, 상기 가스 홈(200)은 하측 절연체(42)의 표면, 하면, 상측 절연체(114)의 표면, 하면 뿐만 아니라, 이들 각 면과 대향 접촉하는 전극부의 면이나 냉각 블록면에도 적용할 수 있는 것은 물론이다.
다음으로, 하측 절연체(42) 및 상측 절연체(114)의 표면 거칠기(Ra)를 규정한 예에 관해서 도 11 내지 도 13을 참조하면서 설명한다.
도 2에서의 각 전열 공간(62, 64, 128, 130)을 구획하는 각 부재의 표면 거칠기는 양 부재간의 실제 접촉 면적이나 양 부재 사이의 실제 거리에 영향을 주기 때문에, 이에 의해 양 부재간의 전열 효율, 즉 열 저항도 영향을 받는다. 도 11은 그 일례로 하측 전극부(38)의 하면과 하측 절연체(42)의 표면 사이에 형성되는 전열 공간(62)의 일부를 마이크로적으로 확대한 모식도를 나타내고 있다. 도 11은그 일례로서 하측 전극부(38)의 하면과 하측 절연부(42) 표면 사이에 형성되는 전열 공간(62)의 일부를 마이크로적으로 확대한 모식도를 나타내고 있다. 도 11에 도시하는 바와 같이, 표면 조도에 의존해서, 양 부재간의 실제 접촉 면적이나 실제 거리가 변화한다는 것을 알았다.
하측 전극부(38)의 하면과 하측 절연체(42) 표면 사이에 형성되는 전열 공간(62)이 크면, 하측 전극부(38)의 하면과 하측 절연체(42)의 표면간의 열 저항도 커진다. 열 저항이 커지면 전극부(38)로부터의 탈열(냉각)이 원활히 실행되지 않게 되어, 웨이퍼 온도의 제어성이 저하할 우려가 있다.
이것을 도 12를 참조하여 설명한다. 도 12는 도 2에 도시하는 전극 구조체(28)를 모식적으로 도시한 도면이다. 도 12에 있어서, 플라즈마 등에 의해 웨이퍼(W)에는 Qw의 열량이 투입되고, 또한 가열 히터부(44)로부터는 최대(Pw)의 열량을 투입할 수 있는 것으로 한다. 그리고, 냉각 블록(40)에서는 열량(Xw)의 탈열(냉각)을 실행하고 있는 것으로 가정한다. 여기서, 절연체(42)의 상하 경계 부분(전열 공간)에서의 열 저항이 크면, 탈열의 열량(Xw)을 크게 취할 수 없으므로 전극부(38)에 큰 열량이 비축된다. 따라서, 가열 히터부(44)의 출력 파워를 억제해야 하고, 이 때문에 웨이퍼(W)를 적절한 프로세스 온도로 유지하기 위한 온도 제어성이 열화한다.
그래서, 본 실시예에서는 절연체(42)의 상하 경계 부분의 표면 거칠기(Ra)를 2.0㎛ 이하로 설정함으로써, 열 저항을 0.1K/W 이하로 되도록 설정하고 있다. 이와 같이, 열 저항이 0.1K/W보다도 작아지면, 도 11에 있어서 탈열을 위한 열량(Xw)을 크게 할 수 있기 때문에, 그 만큼 가열 히터부(44)에 큰 전력을 투입할 수 있어, 따라서 웨이퍼 온도를 제어성 좋게 제어하는 것이 가능해진다.
여기서, 도 13을 참조하여 열 저항 및 표면 거칠기의 각 상한값에 관해 설명한다. 도 13은 절연체의 표면 거칠기(Ra)와 열 저항과의 관계를 나타내는 그래프이다. 이 그래프로 분명하듯이, 표면 거칠기(Ra)가 커지는 만큼 열 저항도 커지고 있다. 종래의 전극 구조체로는, 가열 히터부(44)의 파워나 냉각 블록(40)의 냉각량을 고려하면, 탈열의 열량은 적어도 5W(와트)/㎠ 이상 필요하고, 이 때의 열 저항은 약 0.1K/W이다. 따라서, 표면 거칠기(Ra)는 그래프보다 약 2.0㎛ 이하로 설정하면 좋다는 것을 알 수 있다.
상기 절연체(42)의 표면 거칠기(Ra)에 관해서, 상부 전극 구조체(30)의 상측 절연체(114)(도 2 참조)에 관해서도 동일하게 적용할 수 있는 것은 물론이다.
다음으로, 내열성 메탈 밀봉 부재의 밀봉성 향상을 위한 변형예에 관해서, 도 14 내지 도 16을 참조하여 설명한다.
일반적으로, 절연체나 전극부나 냉각 블록의 표면은 상호간의 접촉성을 양호하게 하기 위해 랩 가열 등에 의해 경상면 다듬질되어 높은 평활 상태로 되어 있다. 도 14는 경상면 다듬질된 전극부의 하면과 절연체의 표면을 모식적으로 도시하고 있고, 양면의 요철은 매우 적어져 있다. 그러나, 석영 유리나 세라믹재로 구성되는 절연체(42)의 표면에는 경상면 가공시에 탈입이 발생하여 미소한 결각(204)이 생기는 경우가 있다. 이 결각(204)은 알루미늄제의 전극부(38)측에 발생하는 경우도 있다.
그리고, 이와 같은 탈입에 의한 결각(204)이 발생하면, 내열성 메탈 밀봉 부재(66A)를 개재시키고 있어도, 이 결각(204)이 누설 통과되어 여기서 전열 가스가 누출되는 경우가 있다.
그래서, 본 실시예에서는 내열성 메탈 밀봉 부재의 표면, 또는 내열성 메탈 부재가 접하는 부재의 표면에 웨이퍼의 프로세스 온도의 근방으로 연화되는 저융점 재료로 구성되는 연화 금속막, 또는 연화 금속층을 형성하고 있다.
도 15a는 내열성 메탈 밀봉 부재(66A)의 표면에 연화 금속막(206)을 형성한 상태를 도시하고, 도 15b는 연화 금속막(206)이 연화된 상태를 도시한다. 또한, 도 16a는 내열성 메탈 밀봉 부재(66A)가 접하는 부재의 표면에, 즉 여기서는 전극부(38)의 하면 및 절연체(42)의 표면에 연화 금속층(208)을 각각 형성한 상태를 나타내고, 도 16b는 연화 금속층(208)이 연화된 상태를 나타내고 있다. 연화 금속층(208)은 밀봉 부재(66A)를 따라 링상으로 형성되게 된다. 이 연화 금속막(206) 및 연화 금속층(208)은 도 3d를 참조하여 설명한 바와 같이, 인듐을 이용할 수 있지만, 이에 한정되는 것이 아니다.
이와 같이, 연화 금속막(206) 또는 연화 금속층(208)을 이용함으로써, 웨이퍼의 프로세스중에 이 재료가 연화되어 상기 결각(204)에 진입하여 이것을 설치할 수 있다(도 15b 및 도 16b 참조). 이로써 누설 통과가 없어져, 전열 가스가 외부로 누출되는 것을 방지하는 것이 가능해진다.
도 15a 및 도 15b에 있어서는, 연화 금속층(208, 208)을 밀봉 부재(66B)가 접하는 상하 양면에 마련하고 있지만, 결각(204)이 발생할 빈도가 높은 절연체(42)측에만 마련하도록 해도 좋다. 또한, 도 14에 도시하는 구조와, 도 15a 및 도 15b에 도시하는 구조를 조합하여 이용하도록 해도 좋다.
다음으로, 내열성 메탈 밀봉 부재의 불화가스에 대한 내부식성을 향상하기 위한 변형예에 관해서 도 17a 및 도 17b를 참조하면서 설명한다.
이 종류의 처리 장치로는, 프로세스시, 에칭시 또는 클리닝시에 부식성이 강한 불소계 가스를 이용하여 처리하는 경우가 있다. 이러한 경우, 불소계 가스가 내열성 메탈 밀봉 부재에 접촉하면, 이것을 부식시킬 우려가 있다.
그래서, 본 실시예에서는 이 부식을 방지하기 위해, 도 17a 및 도 17b에 도시하는 바와 같이 내열성 메탈 밀봉 부재의 표면에 불소계 가스에 대해 내부식성이 높은 불화 부동체막(210)을 형성하고 있다. 도 17a에 도시하는 내열성 메탈 밀봉 부재로는, 단면 원형의 내열성 메탈 밀봉 부재(66A)(도 3a와 동일함)의 표면 전체에 불화 부동체막(210)을 형성하고 있다. 또한, 도 17b의 도시하는 내열성 메탈 밀봉 부재는 일부가 개방된 단면 원호상의 내열성 메탈 밀봉 부재(66A)(도 3b와 동일함)이며, 전방 및 배면 표면 전체에, 즉 불소계 가스와 접촉할 가능성이 있는 노출면 전체에 대하여 불화 부동체막(210)을 형성하고 있다. 앞의 도 3c 내지 도 3e에 도시하는 밀봉 부재(66A-2, 66A-3, 66A-4)의 경우에도 외측 표면 뿐만 아니라, 안쪽 표면 전체에 불화 부동체막(210)을 형성한다.
이에 따라, 이 메탈 밀봉 부재(66A)가 불소계 가스에 의해 부식되는 것이 방지되어, 이 내부식성을 향상할 수 있다. 한편, 이 불화 부동체막(210)의 형성에 관해서는, 다른 모든 메탈 밀봉 부재(66B, 132A, 132B)(도 2 참조)에도 적용할 수있는 것.
다음으로, 전극부(38)를 지탱하는 지주(48)의 부분을 개량하여 웨이퍼 온도의 면내 균일성을 향상시키기 위한 변형예에 관해서 도 18 내지 도 20을 참조하면서 설명한다.
도 2에 도시하는 바와 같이 하측 전극부(38)를 지지하는 직경 4 내지 5㎝ 정도의 지주(48)는 내부가 중공으로 되어 있고, 이 내부는 대기 개방되어 있어도 좋으며, 또는 밀폐 상태로 되어 있어도 좋다. 모든 경우에, 지주(48)가 접속되는 하측 전극부(38)의 중심부 근방은 냉각 블록(40)이 바로 아래에 위치하고 있지 않으므로 탈열성이 뒤떨어진다. 이 때문에, 하측 전극부(38)의 중심부 근방의 온도는 그 주변부와 비교하여 조금 높은 경향으로 되어, 웨이퍼 온도의 면내 균일성을 열화시키는 원인이 되어 있다.
그래서, 본 실시예에는 이 부분의 탈열을 촉진시키기 위한 기체 블로워 수단을 마련하고 있다. 도 18은 지주내에 기체 블로워 수단을 마련한 상태를 나타내는 도면이다. 도 19는 반도체 웨이퍼의 면내 온도 분포를 나타내는 그래프이다.
도 18에 도시하는 바와 같이, 하측 전극부(38)의 중심부를 지지하는 중공상의 지주(48)에는 그 하측으로부터 기체 블로워 수단(220)으로서 기체 분사 노즐(222)이 삽입되어 있다. 기체 분사 노즐(222)의 상단 분사구(224)는 전극부(38) 하면의 직하, 1㎝ 정도의 곳에 위치되어 있고, 전극부(38)의 이면 중심부에 실온 정도의 N2가스나 공기 등의 기체를 분사하여, 이 부분의 탈열(냉각)을촉진시키도록 되어 있다. 이 분사된 기체는 지주(48) 하단의 배기 포트(226)로부터 배출되도록 되어 있다. 이 분사 가스의 온도는 미리 어느 정도 냉각해 두어 탈열 효율을 향상시키도록 해도 좋지만, 과도하게 탈열 효율을 상승시키면, 전극부(38)의 중심부의 온도가 지나치게 낮아져 바람직하지 못하다.
도 19는 냉각 블로워 수단의 효과를 나타내는 그래프이고, 냉각 블로워 수단 없는 경우와, 냉각 블로워 수단 있는 경우(20리터/분과 40리터/분)를 나타내고 있다. 여기서, 냉각 블로워 수단은 실온의 N2가스를 분사하고 있다. 도 19에 도시하는 그래프로 분명하듯이, 냉각 블로워 수단 없는 경우에, 웨이퍼 중심의 온도는 높고 주변부가 낮아진다. 이 경우, 웨이퍼면내의 온도차(ΔT)는 24℃ 정도에나 달하고 있어 큰 온도차로 된다.
또한, 냉각 블로워 있는 것으로 기체를 40리터/분의 유량을 분사하고 있는 경우에는, 역으로 탈열이 지나치게 촉진되어 웨이퍼 중심부의 온도가 낮아진다. 이 경우에도, 웨이퍼면내의 온도차(ΔT)는 24℃ 정도에나 달하고 있어 큰 온도차로 된다.
이에 비해, 냉각 블로워 수단 있는 것으로 기체를 20리터/분의 유량을 분사하고 있는 경우에, 웨이퍼 중심부의 온도는 적절히 내려간다. 따라서, 웨이퍼면내의 온도차(ΔT)는 15℃ 정도까지 작아져, 웨이퍼면내의 온도의 균일성이 양호한 결과를 나타낸다는 것을 알았다.
이와 같이, 하측 전극부(38)의 하면 중심부로 기체 블로워를 내뿜어 이 부분의 탈열을 촉진시킴으로써, 웨이퍼면내의 온도 균일성을 대폭으로 향상시키는 것이 가능해진다.
또한, 상기 실시예에서는 기체 블로워 수단(220)을 마련하여 하측 전극부(38)의 중심부의 탈열을 촉진했지만, 이것을 대신하여, 또는 이것과 병용하여, 도 20에 도시하는 바와 같이, 지주(48)와 냉각 블록(40) 사이에, 예컨대 알루미늄 등의 열 전도성 부재(230)를 개재시켜 양자를 접합하도록 해도 좋다. 한편, 참조부호(232)는 O링 등의 밀봉 부재이며, 처리 용기내의 기밀성을 유지하고 있다.
이에 의하면, 하측 전극부(38)의 열이 이 지주(48) 및 열 전도성 부재(230)를 거쳐 냉각 블록(40)에 전달된다. 이에 따라, 도 18로 설명한 경우와 같이, 하측 전극부(38)의 중심부 근방의 탈열성을 높여, 웨이퍼 온도의 면내 균일성을 높이는 것이 가능해진다.
한편, 상기 실시예에 있어서는, 각 전열 공간을 밀봉하기 위해, 도 3a 내지 3d에 도시하는 바와 같은 내열성 메탈 밀봉 부재를 이용했지만, 이에 한정되지 않고, 이 전열 공간 자체를 래버린스 구조로서 전열 가스가 용이하게 배출되지 않는 구조로 해도 무방하다.
이 점에 관해서, 하측 전극부(38)와 하측 절연체(42)의 접합부를 예로 들어 설명한다. 도 21, 도 22a 및 도 22b에 도시하는 바와 같이 하측 절연체(42)의 하면에, 소정의 피치, 예컨대 수 ㎜ 정도의 피치로, 나선상 또는 동심원상(도 21에 도시하는 경우는 동심원상)으로 폭 2㎜의 미소한 홈부(150)를 형성한다. 그리고, 하측 절연체(42)와 대향하는 하측 절연체(42)의 표면에도 상기 요철상의 홈부(150)에 대응하여 이것을 끼워 넣도록 요철상으로 미소한 홈부(152)를 형성한다. 그리고, 이들 표면의 홈부(150, 152)를 도 22a에 도시하는 바와 같이 서로 끼워 넣음으로써, 래버린스상의 래버린스 전열 공간(154)을 형성한다. 이 경우에는 내열성 메탈 밀봉 부재를 이용하지 않고, 이 래버린스 전열 공간(154)에 전열 가스를 어느 정도 밀봉할 수 있다.
또한, 도 22b에 도시하는 바와 같이, 어느 한쪽 면, 도시예에서는 하측 전극부(38)에만 홈부(150)를 형성하고, 이에 대향하는 다른쪽(타면) 면은 평탄한 상태이어도 무방하다. 이 경우에 형성되는 래버린스 전열 공간(154)은 도 22a에 도시하는 정도의 밀봉성을 부여할 수는 없지만, 이 경우에도 전열 가스를 어느 정도 밀봉할 수 있다.
또한, 상기 실시예에서는 각 가열 히터부(44, 116)를 시스 히터로 형성했지만, 이것을 다른 히터, 예컨대 저항 금속을 세라믹스내에 패턴 형성하여 이루어지는 세라믹스 히터 등으로 구성해도 무방하다. 또한, 히터부(44, 116)를 연속하는 일체물로 구성하는 것이 아니고, 도 23에 도시하는 바와 같이, 예컨대 중심측 히터부(44A)와 외주측 히터부(44B)로 2분할하여 영역마다 개별적으로 온도 제어할 수 있도록 해도 무방하다. 또한, 세라믹스 히터의 경우에는, 패턴 폭을 동심원상 또는 소용돌이 형상의 도중에 크거나 또는 작게 함으로써 영역마다의 발열량의 제어와 동일한 제어를 실행할 수 있다.
또한, 본 실시예에 있어서는, 각 전극부(38, 110)와 냉각 블록(40, 112) 사이에 각각 절연체(42, 114)를 마련했지만, 이 절연체(42, 114)를 마련하지 않고,각 전극부(38, 110)와 각각의 냉각 블록(40, 112)을 직접 접합시키도록 해도 무방하다. 이 경우에도, 양 접합 경계에 동일하고 미소한 전열 공간이 발생하게 된다. 한편, 이 경우에는 각 전극 구조체와 처리 용기(26)의 절연은 별도의 개소에서 실행한다.
또한, 상기 실시예에서는 플라즈마 CVD 처리를 예로 들어 설명했지만, 플라즈마 에칭 처리, 플라즈마 스퍼터링 처리, 플라즈마 애싱 처리 및 플라즈마를 이용하지 않는 열 CVD 등에도 적용할 수 있다. 플라즈마를 이용하지 않는 열 CVD 처리 장치에 본 발명을 적용한 일례인 처리 장치가 도 24에 도시되어 있다. 한편, 도 24에서 도 2에 도시하는 부분과 동일 구조인 부분에는 동일 부호를 붙여 놓는다.
여기서는, 도 2의 가스 노즐(34)을 대신하여, 성막 가스 등의 처리 가스를 처리 용기(26)내로 공급하는 샤워 헤드부(150)를 마련하고 있고, 도 2의 하부 전극 구조체(28)를 대신하여 탑재대 구조체(162)가 마련되어 있다. 이 탑재대 구조체(162)는 도 2의 하측 전극부(38)와 같은 구조의 탑재대(164)와 냉각 블록(20)으로 구성된다. 탑재대(164)와 냉각 블록(40) 사이의 전열 공간(62)에 내열성 메탈 밀봉 부재(66A, 66B)를 개재시키고 있다. 고주파 전원을 이용하고 있지 않기 때문에, 도 2에 있어서 마련되어 있던 하측 절연체(42)는 불필요하다. 이 경우에도, 도 2에 도시한 장치와 동일한 작용 효과를 발휘할 수 있고, 예컨대 전열 공간의 밀봉성을 높게 유지하여 전열 가스를 그 정도로 소비하지 않고 피처리체의 온도를 정밀도 좋게 제어하는 등의 효과를 발휘할 수 있다.
그리고, 이 고주파 전원을 이용하고 있지 않은 탑재대 구조체(162) 및 상기래버린스 전열 공간(154)을 이용한 탑재대 구조체에도 앞에 도 7 내지 도 20을 참조하여 설명한 각 형태의 실시예를 적용할 수 있는 것은 물론이다.
또한, 여기서는 피처리체로서 반도체 웨이퍼를 예로 들어 설명했지만, 본 발명은 이에 한정되지 않고, LCD기판, 유리 기판 등에도 본 발명을 적용할 수 있다.
이상 설명한 바와 같이, 본 발명에 의한 전극 구조체, 탑재대 구조체, 플라즈마 처리 장치 및 처리 장치에 의하면, 다음과 같이 우수한 작용 효과를 발휘할 수 있다.
본 발명의 전극 구조체에 의하면, 200℃ 이상, 예컨대 350 내지 500℃ 정도의 고온 영역에 있어서도, 전열 공간의 밀봉성을 높게 유지하여, 전열 가스를 그 정도 소비하지 않고 피처리체의 온도를 정밀도 좋게 제어할 수 있다.
특히, 내열 압력 센서를 이용하여 제어 대상의 전열 공간이나 래버린스 전열 공간의 압력을 직접 측정하도록 한 경우에는, 신속하고 또한 보다 정밀도 높은 압력 제어를 할 수 있다.
또한, 본 발명의 탑재대 구조체에 의하면, 200℃ 이상, 예컨대 350 내지 500℃ 정도의 고온 영역에 있어서도, 전열 공간의 밀봉성을 높게 유지하여, 전열 가스를 그 정도 소비하지 않고 피처리체의 온도를 정밀도 좋게 제어할 수 있다.
특히, 내열 압력 센서를 이용하여 제어 대상의 전열 공간이나 래버린스 전열 공간의 압력을 직접 측정하도록 한 경우에는, 신속하고 또한 보다 정밀도가 높은 압력 제어를 할 수 있다.
또한, 본 발명에 의하면, 절연체의 열 전도도를 80W/mK 이상으로 설정함으로써, 이 변형 휘어짐 양을 억제하여 피처리체의 온도 분포의 면내 균일성을 개선할 수 있다.
또한, 본 발명에 의하면, 전열 공간을 구획하는 접합면의 접촉율을 40 내지 80%의 범위내로 설정함으로써, 열 저항을 과도하게 높이지 않고 전열 가스를 면내에 대략 균일하게 흐르게 할 수 있기 때문에, 피처리체의 온도 분포의 면내 균일성을 개선할 수 있다.
또한, 본 발명에 의하면, 전열 공간을 구획하는 부재의 표면 거칠기를 2.0㎛ 이하로 설정함으로써, 열 전도성을 향상시킬 수 있고, 피처리체의 온도 제어성을 향상시킬 수 있다.
또한, 본 실시예에 의하면, 내열성 메탈 밀봉 부재의 표면, 또는 이것이 접촉하는 부재의 표면에 프로세스 온도 근방으로 연화되는 연화 금속막, 또는 연화 금속층을 형성함으로써, 접촉면에 존재하는 탈립 등에 의한 결각이 프로세스시에 설치되고, 전열 가스가 배출되는 누설 통과가 발생하는 것을 방지할 수 있다.
또한, 본 발명에 의하면, 내열성 메탈 밀봉 부재의 표면에 불화 부동체막을 형성함으로써, 이 불소계 가스에 대한 내부식성을 높일 수 있다.
또한, 본 발명에 의하면, 전극부 또는 탑재대를 지탱하는 중공상의 지주내에서 전극부 또는 탑재대의 이면 중심부로 기체를 분출시킴으로써, 이 부분의 탈열을 촉진시킬 수 있고, 피처리체의 온도 분포의 면내 균일성을 향상시킬 수 있다.
또한, 본 발명의 플라즈마 처리 장치에 의하면, 고온 영역에 있어서도 피처리막의 온도를 정밀도 좋게 제어하여 플라즈마 처리를 할 수 있다.
또한, 본 발명의 처리 장치에 의하면, 고온 영역에 있어서도 피처리체의 온도를 정밀도 좋게 제어하여 처리를 할 수 있다.
본 발명은 구체적으로 개시된 상술의 실시예에 한정되는 것이 아니라, 본 발명의 범위를 일탈하지 않게 각종 개량예 및 변형예가 성립될 것이다.

Claims (31)

  1. 진공흡인 가능하게 된 처리 용기(26)내에 플라즈마를 이용하여 피처리체(W)에 대하여 소정의 처리를 하는 플라즈마 처리 장치에 이용되는 전극 구조체에 있어서,
    가열 히터부(44; 116)를 내부에 갖는 전극부(38; 110)와,
    상기 전극부에 접합되어 내부에 상기 전극부를 냉각하는 냉각 재킷(58; 126)을 갖는 냉각 블록(40; 112)과,
    상기 전극부와 상기 냉각 블록 사이에 형성된 전극측 전열 공간(62, 64; 128, 130)을 밀봉하기 위한 내열성 메탈 밀봉 부재(66A, 66B, 68A, 68B; 132A, 132B, 134A, 134B)와,
    상기 전극측 전열 공간에 전열 가스를 공급하는 전극측 전열 가스공급 수단(94; 142)을 구비한 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  2. 진공흡인 가능하게 된 처리 용기(26)내에 플라즈마를 이용하여 피처리체(W)에 대하여 소정의 처리를 하는 플라즈마 처리 장치에 이용되는 전극 구조체에 있어서,
    가열 히터부(44; 116)를 내부에 갖는 전극부(38; 110)와,
    상기 전극부에 접합되어 내부에 상기 전극부를 냉각하는 냉각 재킷(58; 126)을 갖는 냉각 블록(40; 112)과,
    상기 전극부와 상기 냉각 블록의 접합 대향면중 적어도 한쪽에 동심원상 또는 나선상으로 마련된 홈부(150)에 의해 형성된 래버린스 전열 공간(154)과,
    상기 래버린스 전열 공간에 전열 가스를 공급하는 전극측 전열 가스 공급 수단(94; 142)을 구비한 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 전극부(38; 110)와 상기 냉각 블록(40; 112) 사이에는 절연체(42; 114)가 마련되어 있고, 상기 전열 공간(62, 64; 128, 130)은 상기 절연체에 의해 상측 공간(62, 128)과 하측 공간(64, 130)으로 분할되어 있는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  4. 제 3 항에 있어서,
    상기 절연체(42; 114)는 열전도도가 80W/mK 이상의 재료로 구성되는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  5. 제 4 항에 있어서,
    상기 절연체(42; 114)는 질화알루미늄(AlN)으로 구성되는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 전열 공간(62, 64; 128, 130; 154)을 구획하기 위해 접합되는 부재의 접합면의 접촉율은 40 내지 80%의 범위내로 설정되어 있는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 전열 공간(62, 64; 128, 130; 154)을 구획하는 부재의 표면 거칠기는 2.0㎛ 이하인 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  8. 제 1 항에 있어서,
    상기 내열성 메탈 밀봉 부재(66A, 66B, 68A, 68B; 132A, 132B, 134A, 134B)는 내부에 저융점 재료(84)를 봉입한 단면 링상의 내열성 금속막(79)으로 구성되는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  9. 제 1 항에 있어서,
    상기 내열성 메탈 밀봉 부재(66A, 66B, 68A, 68B; 132A, 132B, 134A, 134B)의 표면에는 상기 피처리체(W)의 프로세스 온도로 연화되는 저융점 재료로 구성되는 연화 금속막(206)이 피복되어 있는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  10. 제 1 항에 있어서,
    상기 내열성 메탈 밀봉 부재(66A, 66B, 68A, 68B; 132A, 132B, 134A, 134B)가 접하는 부재의 표면에는 상기 피처리체(W)의 프로세스 온도로 연화되는 저융점 재료로 구성되는 연화 금속층(208)이 형성되어 있는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  11. 제 1 항에 있어서,
    상기 내열성 메탈 밀봉 부재(66A, 66B, 68A, 68B; 132A, 132B, 134A, 134B)의 표면은 불소계 가스에 대하여 내부식성을 갖는 불화 부동체막(210)에 의해 피복되어 있는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  12. 제 11 항에 있어서,
    상기 불화 부동체막(210)은 불화 니켈로 구성되는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 가열 히터부(44; 116)는 세라믹 히터로 구성되는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  14. 제 1 항 또는 제 2 항에 있어서,
    상기 가열 히터부(44; 116)는 동심원상으로 구역 분할되어 있고, 분할된 구역은 각각 제어 가능한 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  15. 제 1 항 또는 제 2 항에 있어서,
    상기 전극부(38; 110)는 상기 피처리체(W)의 상측에 위치하는 상측 전극부(110)인 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  16. 제 1 항 또는 제 2 항에 있어서,
    상기 전극부(38; 110)는 상기 피처리체(W)를 탑재하는 탑재대를 겸하는 하측 전극부(38)이고, 또한 이 하측 전극부의 표면에 접합되어 상기 피처리체(W)를 흡착하는 정전 척(46)과, 상기 정전 척과 상기 피처리체 사이에 형성되는 척측 전열 공간(74)에 전열 가스를 공급하는 척측 전열 가스 공급 수단(96)을 구비한 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  17. 제 16 항에 있어서,
    상기 전극측 전열 공간(62, 64; 128, 130), 상기 래버린스 전열 공간(154) 및 상기 척측 전열 공간(74)중 적어도 하나의 공간에는 내열 압력 센서가 마련되고 있고, 이 내열 압력 센서의 출력에 따라 상기 대응하는 전열 가스 공급 수단의 가스 공급량을 제어하도록 구성한 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  18. 제 1 항 또는 제 2 항에 있어서,
    상기 전극부(38; 110)의 중심은 내부가 중공상의 지주(48)에 의해 지지되어 있고, 상기 지주내에는 상기 전극부의 이면 중심부를 향해서 기체를 내뿜어 탈열을 촉진시키는 기체 블로워 수단(222)이 마련되는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  19. 제 1 항 또는 제 2 항에 있어서,
    상기 전극부(38; 110)의 중심은 지주(48)에 의해 지지되어 있고, 상기 지주는 열전도성 부재를 거쳐 상기 냉각 블록(40; 112)에 접속되어 있는 것을 특징으로 하는
    플라즈마 처리 장치용 전극 구조체.
  20. 진공흡인 가능하게 된 처리 용기(26)내에 피처리체(W)에 대하여 소정의 처리를 하는 처리 장치에 이용되는 탑재대 구조체에 있어서,
    상기 피처리체를 가열하기 위한 가열 히터부(44)를 내부에 갖는 탑재대(164)와,
    상기 탑재대에 접합되어 내부에 상기 탑재대(164)를 냉각하는 냉각 재킷(58)을 갖는 냉각 블록(40)과,
    상기 탑재대와 상기 냉각 블록 사이에 형성되는 전열 공간(62)을 밀봉하기 위한 내열성 메탈 밀봉 부재(66A, 66B)와,
    상기 전열 공간에 전열 가스를 공급하는 전열 가스 공급 수단(94)을 구비한 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  21. 제 20 항에 있어서,
    상기 내열성 메탈 밀봉 부재(66A, 66B)의 표면에는 상기 피처리체(W)의 프로세스 온도로 연화되는 저융점 재료로 구성되는 연화 금속막(206)이 피복되어 있는 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  22. 제 20 항에 있어서,
    상기 내열성 메탈 밀봉 부재(66A, 66B)가 접하는 부재의 표면에는 상기 피처리체(W)의 프로세스 온도로 연화되는 저융점 재료로 구성되는 연화 금속층(208)이 형성되어 있는 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  23. 제 20 항에 있어서,
    상기 내열성 메탈 밀봉 부재(66A, 66B)의 표면은 불소계 가스에 대하여 내부식성을 갖는 불화 부동체막(210)에 의해 피복되어 있는 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  24. 제 23 항에 있어서,
    상기 불화 부동체막(210)은 불화 니켈로 구성되는 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  25. 진공흡인 가능하게 된 처리 용기내에 피처리체(W)에 대하여 소정의 처리를 하는 처리 장치에 이용되는 탑재대 구조체에 있어서,
    상기 피처리체를 가열하기 위한 가열 히터부(44)를 내부에 갖는 탑재대(164)와,
    상기 탑재대에 접합되어 내부에 상기 탑재대(164)를 냉각하는 냉각 재킷(58)을 갖는 냉각 블록과,
    상기 탑재대와 상기 냉각 블록과의 접합 대향면중 적어도 한쪽에 동심원상 또는 나선상으로 마련되는 홈부(150)에 의해 형성된 래버린스 전열 공간(154)과,
    상기 래버린스 전열 공간에 전열 가스를 공급하는 전열 가스 공급 수단(94)을 구비한 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  26. 제 25 항에 있어서,
    상기 전열 공간(154)을 구획하기 위해 접합되는 부재의 접합면의 접촉율은 40 내지 80%의 범위내로 설정되어 있는 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  27. 제 25 항에 있어서,
    상기 전열 공간(154)을 구획하는 부재의 표면 거칠기는 2.0㎛ 이하인 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  28. 제 25 항에 있어서,
    상기 탑재대(164)의 중심은 내부가 중공상의 지주(48)에 의해 지지되어 있고, 상기 지주내에는 상기 전극부의 이면 중심부를 향해 기체를 뿜어 탈열을 촉진시키는 기체 블로워 수단(222)이 마련되는 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  29. 제 25 항에 있어서,
    상기 탑재대(164)의 중심은 지주(48)에 의해 지지되어 있고, 상기 지주는 열 전도성 부재를 거쳐 상기 냉각 블록(40)에 접속되어 있는 것을 특징으로 하는
    처리 장치용 탑재대 구조체.
  30. 플라즈마 처리 장치에 있어서,
    진공흡인 가능한 처리 용기(26)와,
    제 1 항에 기재된 전극 구조체(28, 30)와,
    상기 전극 구조체에 고주파 전압을 인가하는 고주파 전원(56)을 구비한 것을 특징으로 하는
    플라즈마 처리 장치.
  31. 처리 장치에 있어서,
    진공흡인 가능한 처리 용기(26)와,
    제 20 항 내지 제 29 항중 어느 한 항에 기재된 탑재대 구조체(162)를 구비한 것을 특징으로 하는
    처리 장치.
KR10-2001-7016361A 1999-06-21 2000-06-20 플라즈마 처리 장치용 전극 구조체, 처리 장치용 탑재대구조체, 플라즈마 처리 장치 및 처리 장치 KR100452649B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-1999-00173613 1999-06-21
JP17361399 1999-06-21
JP2000168297A JP2001068538A (ja) 1999-06-21 2000-06-05 電極構造、載置台構造、プラズマ処理装置及び処理装置
JPJP-P-2000-00168297 2000-06-05

Publications (2)

Publication Number Publication Date
KR20020022072A KR20020022072A (ko) 2002-03-23
KR100452649B1 true KR100452649B1 (ko) 2004-10-12

Family

ID=26495531

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-7016361A KR100452649B1 (ko) 1999-06-21 2000-06-20 플라즈마 처리 장치용 전극 구조체, 처리 장치용 탑재대구조체, 플라즈마 처리 장치 및 처리 장치

Country Status (7)

Country Link
US (1) US7033444B1 (ko)
EP (1) EP1205964B1 (ko)
JP (1) JP2001068538A (ko)
KR (1) KR100452649B1 (ko)
DE (1) DE60026996T2 (ko)
TW (1) TW483087B (ko)
WO (1) WO2000079575A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140009304A (ko) * 2011-01-03 2014-01-22 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 기판 홀더에서의 가스 누출 제어를 위한 시스템 및 방법

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772961B2 (en) * 2000-06-16 2004-08-10 Ati Properties, Inc. Methods and apparatus for spray forming, atomization and heat transfer
US8891583B2 (en) * 2000-11-15 2014-11-18 Ati Properties, Inc. Refining and casting apparatus and method
US6496529B1 (en) * 2000-11-15 2002-12-17 Ati Properties, Inc. Refining and casting apparatus and method
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP4683775B2 (ja) * 2001-07-10 2011-05-18 京セラ株式会社 ウエハ載置ステージ及びそれを用いた半導体製造装置
JP4574987B2 (ja) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 処理装置
JP3832409B2 (ja) 2002-09-18 2006-10-11 住友電気工業株式会社 ウエハー保持体及び半導体製造装置
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP4165745B2 (ja) * 2003-01-27 2008-10-15 日本碍子株式会社 半導体ウェハ保持装置
KR101145350B1 (ko) 2003-02-06 2012-05-14 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치 및 표시장치의 제조 방법
US20040216678A1 (en) * 2003-03-03 2004-11-04 Sumitomo Electric Industries, Ltd. Wafer Holder for Semiconductor Manufacturing Equipment and Semiconductor Manufacturing Equipment in Which It Is Installed
CN100388434C (zh) 2003-03-12 2008-05-14 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
US6992892B2 (en) * 2003-09-26 2006-01-31 Tokyo Electron Limited Method and apparatus for efficient temperature control using a contact volume
KR100826432B1 (ko) * 2003-10-31 2008-04-29 엘지디스플레이 주식회사 반도체 공정 장비용 서셉터 및 이를 구비한 반도체 공정 장비
JP4574174B2 (ja) * 2004-01-14 2010-11-04 株式会社日立ハイテクノロジーズ プラズマ処理装置及び電極
JP4833859B2 (ja) 2004-01-30 2011-12-07 東京エレクトロン株式会社 流体用ギャップを有する基板ホルダとこの基板ホルダの製造方法
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
AU2004320020B2 (en) * 2004-05-20 2011-06-09 Softal Electronic Gmbh Continuous and semi-continuous treatment of textile materials integrating CORONA discharge
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US7300707B2 (en) * 2004-10-25 2007-11-27 Creative Technology Corporation Aluminium composite structure having a channel therein and method of manufacturing the same
US20060138925A1 (en) * 2004-12-28 2006-06-29 Yi-Fang Cheng Plasma processing device having a ring-shaped air chamber for heat dissipation
KR100572118B1 (ko) * 2005-01-28 2006-04-18 주식회사 에이디피엔지니어링 플라즈마 처리장치
JP2006237348A (ja) * 2005-02-25 2006-09-07 Ulvac Japan Ltd 静電チャック及びこれを備えた真空処理装置
KR100669111B1 (ko) * 2005-06-16 2007-01-15 삼성전자주식회사 챔버 어셈블리 및 이를 갖는 기판 가공 장치
JP4847070B2 (ja) * 2005-08-26 2011-12-28 株式会社岡本工作機械製作所 二点式インプロセスゲ−ジ機器を備える基板研削装置
US7803212B2 (en) * 2005-09-22 2010-09-28 Ati Properties, Inc. Apparatus and method for clean, rapidly solidified alloys
US7578960B2 (en) * 2005-09-22 2009-08-25 Ati Properties, Inc. Apparatus and method for clean, rapidly solidified alloys
US7803211B2 (en) * 2005-09-22 2010-09-28 Ati Properties, Inc. Method and apparatus for producing large diameter superalloy ingots
US8381047B2 (en) * 2005-11-30 2013-02-19 Microsoft Corporation Predicting degradation of a communication channel below a threshold based on data transmission errors
JP4844167B2 (ja) * 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5041736B2 (ja) * 2006-06-09 2012-10-03 キヤノントッキ株式会社 基板加熱装置及び基板加熱方法
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US20080083979A1 (en) * 2006-10-10 2008-04-10 Sumitomo Electric Industries, Ltd. Wafer holder and semiconductor manufacturing apparatus equipped with wafer holder
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
JP4944600B2 (ja) * 2006-12-28 2012-06-06 新光電気工業株式会社 基板温調固定装置
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8748773B2 (en) * 2007-03-30 2014-06-10 Ati Properties, Inc. Ion plasma electron emitters for a melting furnace
EP2137329B1 (en) * 2007-03-30 2016-09-28 ATI Properties LLC Melting furnace including wire-discharge ion plasma electron emitter
KR101204160B1 (ko) * 2007-06-19 2012-11-22 도쿄엘렉트론가부시키가이샤 진공 처리 장치
CN101809717B (zh) * 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US7798199B2 (en) 2007-12-04 2010-09-21 Ati Properties, Inc. Casting apparatus and method
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR100943427B1 (ko) * 2008-02-04 2010-02-19 주식회사 유진테크 기판지지유닛 및 기판처리장치, 그리고 기판지지유닛을제조하는 방법
US10192760B2 (en) * 2010-07-29 2019-01-29 Eugene Technology Co., Ltd. Substrate supporting unit, substrate processing apparatus, and method of manufacturing substrate supporting unit
JP5169298B2 (ja) * 2008-02-22 2013-03-27 株式会社デンソー 半導体製造装置
JP5224855B2 (ja) * 2008-03-05 2013-07-03 東京エレクトロン株式会社 電極ユニット、基板処理装置及び電極ユニットの温度制御方法
KR101006848B1 (ko) * 2008-05-28 2011-01-14 주식회사 코미코 기판 지지 장치 및 이를 포함하는 기판 처리 장치
US20110068084A1 (en) * 2008-07-10 2011-03-24 Canon Anelva Corporation Substrate holder and substrate temperature control method
US20100014208A1 (en) * 2008-07-10 2010-01-21 Canon Anleva Corporation Substrate holder
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
JP5056735B2 (ja) * 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
WO2010109647A1 (ja) * 2009-03-27 2010-09-30 株式会社アドバンテスト マルチコラム電子線描画用マスク保持装置及びマルチコラム電子線描画装置
TWI458557B (zh) * 2009-11-26 2014-11-01 Hon Hai Prec Ind Co Ltd 噴塗遮蔽結構及採用該結構之噴塗遮蔽方法
US20110180233A1 (en) * 2010-01-27 2011-07-28 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US8747956B2 (en) 2011-08-11 2014-06-10 Ati Properties, Inc. Processes, systems, and apparatus for forming products from atomized metals and alloys
JP2012169552A (ja) * 2011-02-16 2012-09-06 Tokyo Electron Ltd 冷却機構、処理室、処理室内部品及び冷却方法
JP2012230023A (ja) * 2011-04-27 2012-11-22 Tokyo Electron Ltd 温度測定装置、温度校正装置及び温度校正方法
JP2013045817A (ja) * 2011-08-23 2013-03-04 Hitachi High-Technologies Corp 真空処理装置および真空処理方法
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
WO2013077952A1 (en) 2011-11-23 2013-05-30 Applied Materials, Inc. Apparatus and methods for silicon oxide cvd photoresist planarization
KR20150013627A (ko) * 2012-04-26 2015-02-05 어플라이드 머티어리얼스, 인코포레이티드 Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치
EP2857786B1 (en) * 2012-05-30 2020-12-23 Kyocera Corporation Flow path member, and heat exchanger and semiconductor manufacturing apparatus using same
JP6069979B2 (ja) * 2012-09-08 2017-02-01 住友金属鉱山株式会社 ガス放出機構付きキャンロール及びこれを搭載した長尺基板の処理装置並びにこれを用いた長尺基板の処理方法
US9018022B2 (en) 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
JP2013153171A (ja) * 2013-02-15 2013-08-08 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
JP2013110440A (ja) * 2013-03-11 2013-06-06 Tokyo Electron Ltd 電極ユニット及び基板処理装置
US10090211B2 (en) * 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
JP2015216255A (ja) * 2014-05-12 2015-12-03 キヤノン株式会社 エッチングチャンバー、および基板の製造方法
JP6873058B2 (ja) * 2015-06-29 2021-05-19 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 基板を保持するための装置
KR102642334B1 (ko) 2015-11-05 2024-02-28 액셀리스 테크놀러지스, 인크. 이온 주입 시스템용 립을 포함하는 이온 소스 라이너
US10361069B2 (en) * 2016-04-04 2019-07-23 Axcelis Technologies, Inc. Ion source repeller shield comprising a labyrinth seal
JP6704836B2 (ja) * 2016-10-28 2020-06-03 日本特殊陶業株式会社 加熱装置
JP6704834B2 (ja) * 2016-10-28 2020-06-03 日本特殊陶業株式会社 加熱装置
JP6982394B2 (ja) * 2017-02-02 2021-12-17 東京エレクトロン株式会社 被加工物の処理装置、及び載置台
JP2018125461A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
JP6522180B1 (ja) 2018-02-08 2019-05-29 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
JP6871959B2 (ja) * 2018-03-30 2021-05-19 芝浦メカトロニクス株式会社 有機膜形成装置、および有機膜の製造方法
CN108682636B (zh) * 2018-05-16 2020-10-30 江苏爱矽半导体科技有限公司 一种晶圆刻蚀装置
WO2020073779A1 (zh) * 2018-10-11 2020-04-16 北京北方华创微电子装备有限公司 静电卡盘及反应腔室
WO2021025809A1 (en) * 2019-08-02 2021-02-11 Applied Materials, Inc. Pedestal with multi-zone heating
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR102615216B1 (ko) * 2020-05-15 2023-12-15 세메스 주식회사 정전 척, 기판 처리 장치 및 기판 처리 방법
KR102607844B1 (ko) * 2020-07-10 2023-11-30 세메스 주식회사 기판 처리 장치 및 기판 지지 유닛
KR102589181B1 (ko) * 2021-08-31 2023-10-16 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
US5169407A (en) * 1987-03-31 1992-12-08 Kabushiki Kaisha Toshiba Method of determining end of cleaning of semiconductor manufacturing apparatus
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
JPH0178026U (ko) * 1987-11-13 1989-05-25
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
ES2054357T3 (es) * 1989-05-08 1994-08-01 Philips Nv Aparato y metodo para tratar substratos planos bajo una presion reducida.
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5255153A (en) * 1990-07-20 1993-10-19 Tokyo Electron Limited Electrostatic chuck and plasma apparatus equipped therewith
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
JPH05315262A (ja) * 1992-05-07 1993-11-26 Hitachi Ltd 半導体製造装置
JP3032087B2 (ja) * 1992-07-28 2000-04-10 東京エレクトロン株式会社 プラズマ処理装置
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
JP3181421B2 (ja) * 1993-01-28 2001-07-03 東京エレクトロン株式会社 処理装置
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
JP3153658B2 (ja) 1992-11-20 2001-04-09 東京エレクトロン株式会社 プラズマ処理方法
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JP2898838B2 (ja) * 1993-02-23 1999-06-02 日本碍子株式会社 加熱装置
JPH06302533A (ja) * 1993-04-19 1994-10-28 Kokusai Electric Co Ltd 縦型反応炉
KR100260587B1 (ko) * 1993-06-01 2000-08-01 히가시 데쓰로 정전척 및 그의 제조방법
JP3126561B2 (ja) 1993-09-09 2001-01-22 東京エレクトロン株式会社 真空処理装置
TW277139B (ko) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5745331A (en) * 1994-01-31 1998-04-28 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5535090A (en) * 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
JPH07283292A (ja) * 1994-04-08 1995-10-27 Tokyo Electron Ltd シール機構並びにこのシール機構を用いた処理装置及び処理方法
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
JP3069271B2 (ja) * 1995-07-12 2000-07-24 勇藏 森 回転電極を用いた高密度ラジカル反応による高能率加工方法及びその装置
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JPH0997830A (ja) * 1995-07-21 1997-04-08 Fuji Electric Co Ltd 静電チャックホールダ、ウエハ保持機構ならびにその使用方法
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
JPH09213781A (ja) * 1996-02-01 1997-08-15 Tokyo Electron Ltd 載置台構造及びそれを用いた処理装置
US5740016A (en) * 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6214162B1 (en) * 1996-09-27 2001-04-10 Tokyo Electron Limited Plasma processing apparatus
US5835334A (en) * 1996-09-30 1998-11-10 Lam Research Variable high temperature chuck for high density plasma chemical vapor deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140009304A (ko) * 2011-01-03 2014-01-22 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 기판 홀더에서의 가스 누출 제어를 위한 시스템 및 방법
KR101937911B1 (ko) 2011-01-03 2019-04-11 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 정전기 클램프 및 이온 주입 시스템

Also Published As

Publication number Publication date
WO2000079575A1 (fr) 2000-12-28
US7033444B1 (en) 2006-04-25
JP2001068538A (ja) 2001-03-16
DE60026996D1 (de) 2006-05-18
DE60026996T2 (de) 2007-03-15
EP1205964A4 (en) 2005-02-02
EP1205964A1 (en) 2002-05-15
TW483087B (en) 2002-04-11
KR20020022072A (ko) 2002-03-23
EP1205964B1 (en) 2006-03-29

Similar Documents

Publication Publication Date Title
KR100452649B1 (ko) 플라즈마 처리 장치용 전극 구조체, 처리 장치용 탑재대구조체, 플라즈마 처리 장치 및 처리 장치
TWI702685B (zh) 極端均勻加熱基板支撐組件
CN106469666B (zh) 基座及基质加工设备
US8183502B2 (en) Mounting table structure and heat treatment apparatus
KR100431658B1 (ko) 기판 가열 장치 및 이를 갖는 장치
US5462603A (en) Semiconductor processing apparatus
JP4450106B1 (ja) 載置台構造及び処理装置
JP4881319B2 (ja) 基板を空間的かつ時間的に温度制御するための装置
JP2001160479A (ja) セラミックスヒーターおよびそれを用いた基板処理装置
KR20120112661A (ko) 탑재대 구조 및 처리 장치
JPH07153706A (ja) サセプタ装置
JP2005166354A (ja) セラミックヒーター
KR20070088501A (ko) 기판 탑재 기구 및 기판 처리 장치
JP2011165891A (ja) 載置台構造及び処理装置
JP2001102435A (ja) 載置台構造及び処理装置
US20030121898A1 (en) Heated vacuum support apparatus
JP2010045170A (ja) 試料載置電極
JP3181364B2 (ja) プラズマ処理装置
JP3446772B2 (ja) 載置台および減圧処理装置
JP2010010231A (ja) プラズマ処理装置
KR100431655B1 (ko) 웨이퍼를 가열하기 위한 히터 어셈블리
JP4495687B2 (ja) 静電チャック
CN112185791A (zh) 喷头单元及具有该喷头单元的基板处理系统
JP2006156830A (ja) 製膜装置及びその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110920

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee