KR950012614A - 플라즈마 처리장치 - Google Patents

플라즈마 처리장치 Download PDF

Info

Publication number
KR950012614A
KR950012614A KR1019940025300A KR19940025300A KR950012614A KR 950012614 A KR950012614 A KR 950012614A KR 1019940025300 A KR1019940025300 A KR 1019940025300A KR 19940025300 A KR19940025300 A KR 19940025300A KR 950012614 A KR950012614 A KR 950012614A
Authority
KR
South Korea
Prior art keywords
gas
plasma processing
processing apparatus
coil
target object
Prior art date
Application number
KR1019940025300A
Other languages
English (en)
Other versions
KR100264445B1 (ko
Inventor
노부오 이시이
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP27313893A external-priority patent/JP3173691B2/ja
Priority claimed from JP27314093A external-priority patent/JP3173693B2/ja
Priority claimed from JP27313993A external-priority patent/JP3173692B2/ja
Priority claimed from JP28421193A external-priority patent/JP3276023B2/ja
Priority claimed from JP28420793A external-priority patent/JP3193815B2/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼가이샤 filed Critical 이노우에 아키라
Publication of KR950012614A publication Critical patent/KR950012614A/ko
Application granted granted Critical
Publication of KR100264445B1 publication Critical patent/KR100264445B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 처리 장치가 웨이퍼를 지지하기 위하여 그 내부에 지지대가 배치된 챔버를 포함하다. 평판형의 코일로 된 안테나가 웨이퍼 쪽으로 향하기 위하여 챔버의 절연벽의 외면에 장착된다. 고주파 전류가 코일에 공급되고, 그로 인해 코일과 웨이퍼 사이의 챔버 내에서 플라즈마를 생성한다. 웨이퍼를 둘러싸기 위하여 포커스 링이 지지대 상에 배치되고, 그것은 상기 웨이퍼의 표면을 지나는 코일을 향하여 돌출하며, 상기 돌출부와 코일 사이에서 생성되는 플라즈마를 웨이퍼의 표면과 거의 평행한 방법으로 향하게 하기 위하여 전기 절연체 또는 고저항체로 형성된 돌출부를 가진다.

Description

플라즈마 처리장치
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 제1의 실시예에 관한 플라즈마 처리 장치의 전체 구성을 나타내는 단면도이다.
제2도는 본 발명의 실시예의 전체 구성의 개략을 나타내는 개략 사시도이다.

Claims (17)

  1. 가스 유입 포트 및 가스 배출 포트를 가지는 챔버와; 상기 챔버내에 배치된 피처리 면을 가지는 피처리체를 지지하는 지지 수단과; 상기 지지 수단에 의해 지지되는 피처리체의 피처리면에 대하여 소정의 간격을 두고 설치된 평면상의 코일과; 상기 코일에 고주파 전류를 공급하며, 상기 코일과 지지 수단 사이의 챔버 내에 플라즈마를 발생시키는 고주파 전원 수단과; 피처리체를 둘러싸도록 상기 지지수단에 설치된 피처리체의 피처리면에 의하여 상기 코일 방향으로 돌출하며, 전기 절연체 또는 고저항체로서 형성된 돌출부를 가지며, 상기 돌출부와 코일과의 사이에서 발생하는 플라즈마를 피처리면과 거의 평행한 방향으로 지향하는 수단을 포함하여 구성되는 플라즈마 처리장치.
  2. 제1항에 있어서, 사익 돌출부는 피처리체 측에 위치한 한 끝단과, 피처리체로부터 떨어진 측에 위치한 다른 한 끝단부를 가지며, 다른 한 끝단부는 한 쪽 끝단부 보다 코일 방향으로 돌출하여 있는, 플라즈마 처리장치.
  3. 제2항에 있어서, 상기 지향 수단은, 전기 절연체 또는 고저항체로서 형성된 고리 모양의 플라즈마 포커스 링을 가지는, 플라즈마 처리장치.
  4. 제3항에 있어서, 상기 포커스 링은 피처리체에 가까와짐에 따라 상기 코일로부터 멀어지도록 경사진 돌출면을 가지는, 플라즈마 처리장치.
  5. 제3항에 있어서, 상기 포커스 링은 피처리체에 가까와짐에 따라 상기 코일로부터 멀어지도록 스탭와이즈된 돌출면을 가지는, 플라즈마 처리장치.
  6. 제1항에 있어서, 상기 지향 수단은, 전기 절연체 또는 고저항체로서 형성된 외환상부재와, 이 외환상부재와 피처리체와의 사이에 배치된, 도체로서 형성된 내환상부재를 가지는, 플라즈마 처리장치.
  7. 제6항에 있어서, 상기 외환상부재는 상기 내환상부재 보다 상기 코일 방향으로 돌출하여 있는, 플라즈마 처리장치.
  8. 제6항에 있어서, 상기 외환상부재는 상기 내환상부재 보다 상기 코일 방향으로 돌출하여 있는, 플라즈마 처리장치
  9. 제1항에 있어서, 상기 지지 수단의 온도를 조절하는 수단과; 상기 온도 조절 수단으로부터의 열을 피처리체에 전달시키는 열전달용 가스를 상기 지지 수단과 피처리체 사이에 공급하는 가스 공급수단과; 이 공급시킨 가스의 압력을 검출하고, 압력에 대응한 신호를 내보내는 압력 검출 수단과; 이 압력 검출 수단으로부터 발생된 신호에 따라 상기 가스 공급 수단의 가스 공급량을 조절하며, 이 가스에 의하여 피처리체로의 열전달을 제어하는 수단을 더욱 포함하여 구성되는, 플라즈마 처리장치.
  10. 제9항에 있어서, 상기 제어 수단은, 피처리체의 처리중에는 피처리체의 온도가 일정하게 되도록 가스 공급량을 제어하는, 플라즈마 처리장치.
  11. 제9항에 있어서, 상기 지지 수단의 온도를 검출하고, 이 온도에 대응한 신호를 내보내는 온도 검출 수단을 더욱 포함하며, 여기서 상기 제어 수단은 이 온도 검출 수단으로부터의 신호와, 상기 압력 검출수단으로부터의 신호에 다라 상기 가스 공급 수단의 가스 공급량을 조절하는, 플라즈마 처리장치.
  12. 제1항에 있어서, 상기 지지 수단이, 피처리체를 정전적으로 흡착하여 지지하며, 반응성 이온에 대하여 내구성이 높은 재료로서 형성된 바깥 층과, 이 바깥 층에 설치된 전극을 가지는 정전 척를 포함하며 구성되는, 플라즈마 처리장치.
  13. 제12항에 있어서, 상기 정전척의 바깥 층은 세라믹, 석영 또는 절연성 고분자로 형성되는, 플라즈마 처리장치.
  14. 제1항에 있어서, 상기 지지 수단은 하우징의 대향 전극을 가지며, 이 전극에는 1 내지 3MHz의 주파수의 바이어스용 고주파 에너지가 인가되는, 플라즈마 처리장치.
  15. 제1항에 있어서, 상기 지지 수단은, 피처리체의 처리 중에는 -50 내지 -150℃로 냉각되어 있는, 플라즈마 처리장치.
  16. 제1항에 있어서, 상기 챔버에는 가스 유입 포트를 통하여, CH4가스와 CF4가스, 그리고 이들 가스에 유량비로서 10%미만의 02가스 또는 50%미만의 Ar가스로 된 혼합 가스, 또는 NF3가스와, CH4가스, 02가스 또는 Ar가스로 된 혼합 가스가 공급되어 피처리체가 에칭 처리되는, 플라즈마 처리장치.
  17. 제16항에 있어서, 에칭 처리 중, 상기 챔버의 내면은 50 내지 100℃로 가열되어 있는, 플라즈마 처리장치.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940025300A 1993-10-04 1994-10-04 플라즈마처리장치 KR100264445B1 (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP27313893A JP3173691B2 (ja) 1993-10-04 1993-10-04 プラズマ処理装置
JP93-273139 1993-10-04
JP27314093A JP3173693B2 (ja) 1993-10-04 1993-10-04 プラズマ処理装置及びその方法
JP27313993A JP3173692B2 (ja) 1993-10-04 1993-10-04 プラズマ処理方法
JP93-273140 1993-10-04
JP93-273138 1993-10-04
JP93-284211 1993-10-20
JP28421193A JP3276023B2 (ja) 1993-10-20 1993-10-20 プラズマ処理装置の制御方法
JP28420793A JP3193815B2 (ja) 1993-10-20 1993-10-20 プラズマ処理装置およびその制御方法
JP93-284207 1993-10-20

Publications (2)

Publication Number Publication Date
KR950012614A true KR950012614A (ko) 1995-05-16
KR100264445B1 KR100264445B1 (ko) 2000-11-01

Family

ID=27530569

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940025300A KR100264445B1 (ko) 1993-10-04 1994-10-04 플라즈마처리장치

Country Status (2)

Country Link
US (1) US5529657A (ko)
KR (1) KR100264445B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100256624B1 (ko) * 1997-12-30 2000-05-15 변유량 오옴익 가열에 의한 두부의 제조방법
KR100880132B1 (ko) * 2001-04-30 2009-01-23 램 리써치 코포레이션 작업편 지지체의 표면을 가로지르는 공간 온도 분포를제어하기 위한 방법 및 장치

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036877A (en) 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6090303A (en) * 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5716451A (en) * 1995-08-17 1998-02-10 Tokyo Electron Limited Plasma processing apparatus
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US5847918A (en) * 1995-09-29 1998-12-08 Lam Research Corporation Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors
US6181555B1 (en) 1995-09-29 2001-01-30 Intel Corporation Cooling system for integrated circuit chips in a portable computer
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US6786998B1 (en) * 1995-12-29 2004-09-07 Cypress Semiconductor Corporation Wafer temperature control apparatus and method
US6902683B1 (en) * 1996-03-01 2005-06-07 Hitachi, Ltd. Plasma processing apparatus and plasma processing method
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5669975A (en) * 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US5753566A (en) * 1996-05-23 1998-05-19 Taiwan Semiconductor Manufactured Company, Ltd. Method of spin-on-glass etchback using hot backside helium
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5748434A (en) * 1996-06-14 1998-05-05 Applied Materials, Inc. Shield for an electrostatic chuck
JP2000514136A (ja) 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
DE69738704D1 (de) * 1996-09-27 2008-07-03 Surface Technology Systems Plc Plasmabearbeitungsgerät
GB9620151D0 (en) 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
TW403959B (en) 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6217655B1 (en) * 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
JP3077623B2 (ja) * 1997-04-02 2000-08-14 日本電気株式会社 プラズマ化学気相成長装置
US5942039A (en) * 1997-05-01 1999-08-24 Applied Materials, Inc. Self-cleaning focus ring
KR100457497B1 (ko) * 1997-05-21 2005-02-05 삼성전자주식회사 플라즈마처리장치의엣지커버장치
KR100466968B1 (ko) * 1997-05-22 2005-05-19 삼성전자주식회사 반도체공정챔버의웨이퍼고정장치
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
GB9711273D0 (en) 1997-06-03 1997-07-30 Trikon Equip Ltd Electrostatic chucks
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US5937541A (en) * 1997-09-15 1999-08-17 Siemens Aktiengesellschaft Semiconductor wafer temperature measurement and control thereof using gas temperature measurement
JP4602545B2 (ja) * 1997-09-16 2010-12-22 アプライド マテリアルズ インコーポレイテッド プラズマチャンバの半導体ワークピース用シュラウド
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
KR100557579B1 (ko) * 1997-11-05 2006-05-03 에스케이 주식회사 박막제조장치
US6028285A (en) * 1997-11-19 2000-02-22 Board Of Regents, The University Of Texas System High density plasma source for semiconductor processing
US6391786B1 (en) * 1997-12-31 2002-05-21 Lam Research Corporation Etching process for organic anti-reflective coating
US6125789A (en) * 1998-01-30 2000-10-03 Applied Materials, Inc. Increasing the sensitivity of an in-situ particle monitor
US6516742B1 (en) * 1998-02-26 2003-02-11 Micron Technology, Inc. Apparatus for improved low pressure inductively coupled high density plasma reactor
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
JP3266567B2 (ja) * 1998-05-18 2002-03-18 松下電器産業株式会社 真空処理装置
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP2000049216A (ja) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp プラズマ処理装置および当該装置で用いられる静電チャック吸着方法
KR100292410B1 (ko) * 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6136712A (en) 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6297163B1 (en) 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
KR100271773B1 (ko) * 1998-10-01 2001-02-01 윤종용 건식식각장치용 배기일렉트로드 및 이를 포함하는 반도체장치제조용 건식식각장치의 공정챔버
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6589437B1 (en) 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6490146B2 (en) 1999-05-07 2002-12-03 Applied Materials Inc. Electrostatic chuck bonded to base with a bond layer and method
US6462928B1 (en) 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
WO2000070116A1 (en) 1999-05-19 2000-11-23 Vosen Steven R Low pressure stagnation flow reactors with a flow barrier
JP2001068538A (ja) * 1999-06-21 2001-03-16 Tokyo Electron Ltd 電極構造、載置台構造、プラズマ処理装置及び処理装置
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6867859B1 (en) * 1999-08-03 2005-03-15 Lightwind Corporation Inductively coupled plasma spectrometer for process diagnostics and control
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
JP4394778B2 (ja) * 1999-09-22 2010-01-06 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US6451157B1 (en) 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6490144B1 (en) 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
JP3990867B2 (ja) * 2000-01-31 2007-10-17 キヤノン株式会社 堆積膜形成装置および堆積膜形成方法
US6638359B2 (en) * 2000-01-31 2003-10-28 Canon Kabushiki Kaisha Deposited film forming apparatus and deposited film forming method
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US6489249B1 (en) * 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
WO2002033729A2 (en) * 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
WO2002061179A1 (en) * 2001-01-19 2002-08-08 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
JP4657473B2 (ja) * 2001-03-06 2011-03-23 東京エレクトロン株式会社 プラズマ処理装置
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
WO2002089531A1 (en) 2001-04-30 2002-11-07 Lam Research, Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
TWI234417B (en) * 2001-07-10 2005-06-11 Tokyo Electron Ltd Plasma procesor and plasma processing method
JP2003060019A (ja) * 2001-08-13 2003-02-28 Hitachi Ltd ウエハステージ
JP4763235B2 (ja) * 2001-08-29 2011-08-31 東京エレクトロン株式会社 プラズマ処理のための装置並びに方法
US6593222B2 (en) * 2001-09-07 2003-07-15 Lattice Corporation Method to improve the reliability of thermosonic gold to aluminum wire bonds
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20030079688A1 (en) * 2001-10-26 2003-05-01 Walther Steven R. Methods and apparatus for plasma doping by anode pulsing
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
JP3727878B2 (ja) * 2001-11-14 2005-12-21 三菱重工業株式会社 金属膜作製装置
TW561515B (en) * 2001-11-30 2003-11-11 Tokyo Electron Ltd Processing device, and gas discharge suppressing member
AU2002366921A1 (en) * 2001-12-13 2003-07-09 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
KR100470224B1 (ko) * 2002-02-05 2005-02-05 주성엔지니어링(주) 매칭박스 고정장치를 가지는 척
KR100455430B1 (ko) * 2002-03-29 2004-11-06 주식회사 엘지이아이 열교환기 표면처리장비의 냉각장치 및 그 제조방법
JP4157718B2 (ja) * 2002-04-22 2008-10-01 キヤノンアネルバ株式会社 窒化シリコン膜作製方法及び窒化シリコン膜作製装置
JP2004014752A (ja) * 2002-06-06 2004-01-15 Tokyo Electron Ltd 静電チャック、被処理体載置台およびプラズマ処理装置
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路
US6963043B2 (en) * 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
US7255774B2 (en) * 2002-09-26 2007-08-14 Tokyo Electron Limited Process apparatus and method for improving plasma production of an inductively coupled plasma
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
JP4991286B2 (ja) * 2003-03-21 2012-08-01 東京エレクトロン株式会社 処理中の基板裏面堆積を減らす方法および装置。
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
US7338578B2 (en) * 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US7713380B2 (en) * 2004-01-27 2010-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for backside polymer reduction in dry-etch process
JP3981091B2 (ja) * 2004-03-01 2007-09-26 株式会社東芝 成膜用リングおよび半導体装置の製造装置
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
US20050193951A1 (en) * 2004-03-08 2005-09-08 Muneo Furuse Plasma processing apparatus
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
FR2875054B1 (fr) * 2004-09-08 2006-12-01 Cit Alcatel Support de substrats minces
GB0424371D0 (en) * 2004-11-04 2004-12-08 Trikon Technologies Ltd Shielding design for backside metal deposition
JP4350695B2 (ja) * 2004-12-01 2009-10-21 株式会社フューチャービジョン 処理装置
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP4336320B2 (ja) * 2005-02-25 2009-09-30 キヤノンアネルバ株式会社 ウエハホルダ
CA2595230C (en) * 2005-03-11 2016-05-03 Perkinelmer, Inc. Plasmas and methods of using them
JP4705816B2 (ja) 2005-07-27 2011-06-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20090130436A1 (en) * 2005-08-22 2009-05-21 Yoshio Harada Spray coating member having excellent heat emmision property and so on and method for producing the same
US20070066062A1 (en) * 2005-09-20 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Landing uniformity ring for etch chamber
US20070211402A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing apparatus, substrate attracting method, and storage medium
US7850864B2 (en) * 2006-03-20 2010-12-14 Tokyo Electron Limited Plasma treating apparatus and plasma treating method
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7763147B1 (en) * 2006-05-15 2010-07-27 Lam Research Corporation Arc suppression plate for a plasma processing chamber
KR101206982B1 (ko) * 2006-05-19 2012-11-30 주식회사 원익아이피에스 진공처리장치
KR20080001164A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 홀 휨 방지를 위한 플라즈마식각장치 및 그를 이용한 식각방법
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
JP4948278B2 (ja) * 2006-08-30 2012-06-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
JP5235293B2 (ja) * 2006-10-02 2013-07-10 東京エレクトロン株式会社 処理ガス供給機構および処理ガス供給方法ならびにガス処理装置
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP5291875B2 (ja) * 2006-11-01 2013-09-18 富士フイルム株式会社 プラズマ装置
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US8941037B2 (en) * 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
JP2008288437A (ja) * 2007-05-18 2008-11-27 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
WO2010101191A1 (ja) * 2009-03-03 2010-09-10 東京エレクトロン株式会社 載置台構造、成膜装置、及び、原料回収方法
JP5601794B2 (ja) * 2009-05-29 2014-10-08 株式会社東芝 プラズマエッチング装置
JP5592098B2 (ja) * 2009-10-27 2014-09-17 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5394360B2 (ja) * 2010-03-10 2014-01-22 東京エレクトロン株式会社 縦型熱処理装置およびその冷却方法
CN103650118B (zh) * 2011-05-31 2016-08-24 应用材料公司 电感耦合等离子体(icp)反应器的动态离子自由基筛与离子自由基孔
JP5697571B2 (ja) 2011-10-06 2015-04-08 株式会社東芝 テンプレートの製造装置及びテンプレートの製造方法
US10460968B2 (en) * 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
US9741543B2 (en) 2014-07-21 2017-08-22 Lam Research Corporation Multi-range voltage sensor and method for a voltage controlled interface of a plasma processing system
US10121641B2 (en) 2014-07-21 2018-11-06 Lam Research Corporation Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
KR102424818B1 (ko) * 2015-05-27 2022-07-25 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 포커스 링
US20170002465A1 (en) * 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
JP6435247B2 (ja) * 2015-09-03 2018-12-05 新光電気工業株式会社 静電チャック装置及び静電チャック装置の製造方法
US20180233321A1 (en) * 2017-02-16 2018-08-16 Lam Research Corporation Ion directionality esc
WO2019053869A1 (ja) * 2017-09-15 2019-03-21 株式会社Kokusai Electric 基板処理装置
CN113451191B (zh) * 2020-06-17 2022-11-11 重庆康佳光电技术研究院有限公司 定位装置及蚀刻装置
CN112435913B (zh) * 2020-11-23 2024-04-12 北京北方华创微电子装备有限公司 半导体设备及其下电极
IL281747B2 (en) * 2021-03-22 2024-04-01 N T Tao Ltd System and method for creating plasma with high efficiency
US20230066418A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Focus ring for a plasma-based semiconductor processing tool

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61119686A (ja) * 1984-11-14 1986-06-06 Teru Ramu Kk 平行平板型プラズマエツチング装置
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
DE68924413T2 (de) * 1989-01-25 1996-05-02 Ibm Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung.
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
KR0165898B1 (ko) * 1990-07-02 1999-02-01 미다 가쓰시게 진공처리방법 및 장치
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5298465A (en) * 1990-08-16 1994-03-29 Applied Materials, Inc. Plasma etching system
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JPH04333228A (ja) * 1991-05-09 1992-11-20 Mitsubishi Electric Corp ドライエッチング装置
JPH04362091A (ja) * 1991-06-05 1992-12-15 Mitsubishi Heavy Ind Ltd プラズマ化学気相成長装置
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JPH05259122A (ja) * 1992-03-11 1993-10-08 Matsushita Electric Ind Co Ltd ドライエッチング装置
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100256624B1 (ko) * 1997-12-30 2000-05-15 변유량 오옴익 가열에 의한 두부의 제조방법
KR100880132B1 (ko) * 2001-04-30 2009-01-23 램 리써치 코포레이션 작업편 지지체의 표면을 가로지르는 공간 온도 분포를제어하기 위한 방법 및 장치

Also Published As

Publication number Publication date
US5529657A (en) 1996-06-25
KR100264445B1 (ko) 2000-11-01

Similar Documents

Publication Publication Date Title
KR950012614A (ko) 플라즈마 처리장치
KR100270207B1 (ko) 플라즈마 처리장치
US6664737B1 (en) Dielectric barrier discharge apparatus and process for treating a substrate
TWI512781B (zh) 具有可撓性對稱射頻返回帶之電漿處理腔室
KR0165851B1 (ko) 판상체 지지테이블 및 그것을 이용한 처리장치
KR100265866B1 (ko) 반도체 제조장치
JP4236329B2 (ja) プラズマ処理装置
KR20100005683A (ko) 플라즈마 처리 장치의 챔버내 부재의 온도 제어 방법, 챔버내 부재 및 기판 탑재대와 그것을 구비한 플라즈마 처리 장치
WO2000004576A1 (fr) Procede et appareil de traitement du plasma
CN112655069B (zh) 等离子处理装置以及等离子处理方法
EP1668663B1 (en) Apparatus and method for plasma treating a substrate
JP2000216140A (ja) ウエハステ―ジおよびウエハ処理装置
JP3172759B2 (ja) プラズマ処理方法及びプラズマ処理装置
US20030141017A1 (en) Plasma processing apparatus
JPH04279044A (ja) 試料保持装置
JPH11283940A (ja) プラズマ処理方法
US20040163595A1 (en) Plasma processing apparatus
JPH07106316A (ja) プラズマ処理装置
JP3050732B2 (ja) プラズマ処理装置
KR100492068B1 (ko) 넓은 볼륨의 플라즈마 발생을 위한 유도 플라즈마 챔버
JP2001210628A (ja) プラズマ処理装置
JP3797975B2 (ja) プラズマ処理装置
JPH11185995A (ja) プラズマ処理装置
JPH05226289A (ja) 被処理体用載置装置及びそれを用いた処理装置
JPS61171127A (ja) プラズマエツチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090525

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee