JPH11154600A - オーバーヘッドソレノイドアンテナ及びモジュラ閉込めマグネットライナを有する誘導結合されたrfプラズマ反応装置 - Google Patents

オーバーヘッドソレノイドアンテナ及びモジュラ閉込めマグネットライナを有する誘導結合されたrfプラズマ反応装置

Info

Publication number
JPH11154600A
JPH11154600A JP10232236A JP23223698A JPH11154600A JP H11154600 A JPH11154600 A JP H11154600A JP 10232236 A JP10232236 A JP 10232236A JP 23223698 A JP23223698 A JP 23223698A JP H11154600 A JPH11154600 A JP H11154600A
Authority
JP
Japan
Prior art keywords
plasma reactor
plasma
chamber
ceiling
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10232236A
Other languages
English (en)
Other versions
JP4299896B2 (ja
Inventor
Kenneth Collins
コリンズ ケネス
Michael Rice
ライス マイケル
Douglas Buchberger
ブクバーガー ダグラス
Craig Roderick
ロデリック クレイグ
Eric Askarinam
アスカリナム エリック
Gerhard Schneider
シュナイダー ケーハード
John Trow
トロー ジヨン
Joshua Tsui
ツイ ジョシュア
Dennis Grimard
グリマード デニス
Gerald Yin
イン ゲラルド
Robert Wu
ウ ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH11154600A publication Critical patent/JPH11154600A/ja
Application granted granted Critical
Publication of JP4299896B2 publication Critical patent/JP4299896B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 境界条件の変化と無関係に、所望のプロセス
やプロセスシーケンスがプラズマプロセスチャンバ内で
実行できるような装置を得ること。 【解決手段】 第一局面によれば、プラズマを収容する
ためのチャンバ60とチャンバに連通する通路58とを
有するプラズマリアクタは、第1モジュールハウジング
と、ハウジング内の第一プラズマ閉じ込め磁石とを含
む、通路に隣接して置かれた第1取り外し可能プラズマ
閉じ込め磁石モジュールによって強化される。それは更
に、第2モジュールハウジングと第2プラズマ閉じ込め
磁石とを含む、通路に隣接して置かれた第2取り外し可
能プラズマ閉じ込め磁石モジュールを含んでもよい。第
1と第2のモジュールは通路の対向両側に配置されるこ
とが望ましい。冷却装置をチャンバ本体に熱的に結合す
ることによって、第1プラズマ閉じ込め磁石をそのキュ
リー温度以下に保つことができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、処理されるワーク
ピースの上に横たわるリアクタチャンバ天井と、天井に
隣接する誘導コイルアンテナとを有するタイプの誘導結
合RFプラズマリアクタ(反応装置)における加熱と冷
却に関する。
【0002】
【従来の技術】関連出願:本出願は Kenneth S. Collin
s 他による1996年10月21日出願の米国特許出願
第 08/733,555 号、名称「オーバーヘッドソレノイドア
ンテナを有する誘導結合RFプラズマリアクタ用熱制御
装置」の一部継続出願であり、同出願は Kenneth S. Co
llins 他による1996年5月13日出願の米国特許出
願第 08/648,254 号、名称「オーバーヘッドソレノイド
アンテナを有する誘導結合RFプラズマリアクタ」の一
部継続出願であり、同出願は以下の同時係属中米国特許
出願の一部継続出願であり、それらの開示は引用によっ
て本明細書に組み込まれている: (a)1991年6月27日出願の第 07/722,340 号の
継続出願である1993年4月1日出願の第 08/041,79
6 号の継続出願である、Kenneth S. Collins他による1
995年12月20日出願の第 08/580,026号; (b)1993年10月15日出願の第 08/138,060 号
の分割出願である、Michael Rice 他による1995年
7月18日出願の第 08/503,467 号;及び (c)1992年12月1日出願の第 07/984,045 号
(現在放棄)の継続出願である、1994年8月11日
出願の第 08/289,336 号の一部継続出願である、199
5年8月31日出願の第 08/521,668 号(現在放棄)の
一部継続出願である、Kenneth Collins による1996
年2月2日出願の第 08/597,577 号。更に、Kenneth S.
Collins 他 による1996年5月13日出願の米国特
許出願第08/648,256 号、名称「ポリマー硬化前駆材料
の加熱された源を持つプラズマ」は関連主題を開示して
いる。
【0003】プラズマ処理チャンバでは、そして特に高
密度プラズマ処理チャンバでは、処理チャンバ内にプラ
ズマを発生、維持するためにRF(高周波)電力を使用
する。上記関連出願で詳しく開示されるように、プロセ
スチャンバ内の表面の温度を、処理条件によって課せら
れた時の変熱負荷(time varying heat loads) やその他
の時変境界条件から独立して制御することがしばしば必
要である。窓/電極が半導体材料の場合には、窓/電極
の温度をある温度範囲内に制御して窓の適切な電気的特
性を得ることが必要になるかもしれない。すなわち、窓
/電極が、窓及び電極として同時に機能するためには、
半導体では電気抵抗率が温度の関数なので、窓/電極の
温度をある温度範囲内で運転することが最も望ましい。
プラズマの発生、維持のためにRF電力を加えると、窓
(例えば、RFやマイクロウェーブ電力の誘導又は電磁
結合のために使用される)又は電極(例えば、RF電力
の容量又は静電結合のために使用されるか、あるいはR
F電力の上記容量又は静電結合用の接地又は戻り経路を
終結又は提供するために使用される)あるいは窓/電極
の組合せを含む、チャンバ内の表面が加熱される。これ
らの表面の加熱は(1)イオン又は電子ボンバードメン
ト、(2)励起された核種(excited species) )から放
出される光の吸収、(3)電磁界又は静電界からの直接
のパワー吸収、(4)チャンバ内の他の表面からの放
射、(5)伝導(低い中性ガス圧力では通常、効果が少
ない)、(6)対流(低い質量流量では通常、効果が少
ない)、(7)化学反応(すなわり、プラズマ中の活性
核種(active species)との反応による窓又は電極の表面
での反応)によって起こる。
【0004】プラズマプロセスチャンバ内で実行される
プロセスによって、窓又は電極を、それらが上記のよう
な内部熱源によって達するであろう温度を超える温度に
加熱しなければならないかもしれないし、あるいは窓又
は電極を、それらが運転プロセスの他の部分やプロセス
シーケンスの間に内部の熱源によって達するであろう温
度を下まわる温度まで冷却しなければならないかもしれ
ない。そのような場合、熱を窓や電極に結合する方法、
及び熱を窓や電極から取り除く方法が必要である。
【0005】プロセスチャンバの外側から窓/電極を加
熱するための方式は下記を含む: 1.窓/電極を外部放射源(すなわち、ランプや放射ヒ
ータ、又は誘導熱源)による加熱、 2.窓/電極を外部対流源(すなわち、放射、伝導、又
は対流によって加熱された強制流体)による加熱、 3.窓/電極を外部伝導源(すなわち、抵抗ヒータ)に
よる加熱。
【0006】上記の加熱方法は、冷却用手段なしで、窓
や電極の運転に利用可能な温度範囲を、それらが内部熱
源のみによって達するだろう温度よりも高い温度に制限
する。
【0007】プロセスチャンバの外側から窓/電極を冷
却するための方式は下記を含む: 1.窓/電極をより低温の外面への放射による冷却、 2.窓/電極を外部対流源(すなわち、自然又は強制)
による冷却、 3.窓/電極を外部ヒートシンクへの伝導による冷却。
【0008】上記の冷却方法は、内部熱源以外の加熱用
手段なしで、窓や電極の運転に利用可能な温度範囲を、
それらが内部熱源のみによって達するであろう温度より
も低い温度に制限する。
【0009】更に、上記の冷却方法は次の問題を持つ: 1.放射による窓/電極の冷却は、低温では放射パワー
のT4依存性によって(Tは熱を放射又は吸収する表面
の絶対(ケルビン)温度)低い熱伝達率(多くの場合、
これは窓又は電極の要求温度範囲及び窓又は電極の内部
加熱速度に対して不十分である)に制限される; 2.外部対流源による窓/電極の冷却は、伝導率が高い
液体を使用することにより、また大流量を用いるときは
密度と比熱の積が大きい液体を使用することによって大
きな熱伝達率を提供できるが、液体対流冷却は次の問題
を持つ: A)温度に対する液体の蒸気圧依存性(すなわち、沸
点)によって最高の運転温度に制限される(相変化が許
されない場合だが、それ自体も問題があり、すなわち、
一定の相変化温度は制御範囲がなく、安全性の問題もあ
る)、 B)液体電気的特性によって、電気的環境に対する液体
冷却の不適合性、 C)リアクタ構造エレメントに接触する液体に対する一
般的完全性(generalintegration) の問題。外部対流源
(例えば冷却ガス)による窓や電極の冷却は、窓や電極
の必要な温度範囲と窓や電極の内部加熱速度に対してし
ばしば不充分な低熱伝達率に制限される; 3.外部ヒートシンクへの伝導による窓/電極の冷却
は、窓又は電極とヒートシンク間の接触抵抗が充分低い
場合は高い熱伝達率を提供するが、低い接触抵抗を得る
ことは実際には困難である。
【0010】プロセスチャンバの外側から窓/電極を加
熱、冷却する方式は、外部ヒートシンクへの伝導によっ
て窓/電極を冷却することと組合せて、外部伝導源(す
なわち、抵抗ヒータ)によって窓/電極を加熱すること
を含む。一実施形態では、構造は次の通りであり、窓又
は電極は窓電極の外面に隣接してヒータプレート(埋め
込み式抵抗ヒータを持ったプレート)を持つ。更に、ヒ
ートシンク(通常は液冷式)は窓又は電極からヒータプ
レートの反対側の近くに置かれる。接触抵抗は窓又は電
極とヒータプレート間と、ヒータプレートとヒートシン
ク間に存在する。
【0011】窓又は電極の温度の自動制御によって統合
された上記システムでは、制御すべき窓又は電極の温度
測定が(連続又は定期的に)行なわれ、その温度測定値
が設定点温度と比較され、測定温度と設定点温度間の差
に基づいてコントローラが、抵抗ヒータに加えるべき電
力の大きさやヒートシンクに加えるべき冷却量を制御ア
ルゴリズムによって決定し、そしてコントローラが、決
定された加熱又は冷却レベルを出力するように出力変換
器に命令する。プロセスは、設定点温度に対する窓又は
電極温度の所望の収斂が起こるまで(連続又は定期的
に)繰り返され、制御システムは、内部加熱又は冷却レ
ベルの変化によるか設定点温度の変化による加熱又は冷
却レベルの要件の変化に直ちに対応するように活動的に
保たれる。窓又は電極の温度を制御するシステムの冷却
能力を制限する接触抵抗問題に加えて、システムは、プ
ラズマリアクタの運転中に内部加熱や冷却負荷が変化す
るときに要求に応じて窓又は電極からヒートシンクへ熱
を伝達する場合にタイムラグを示す。これは一部は、窓
又は電極とヒータ間の接触抵抗と、ヒータとヒートシン
ク間の接触抵抗、ならびにヒータと窓又は電極の熱キャ
パシタンスに起因する。例えば、内部熱負荷がプロセス
又はプロセスシーケンスで増加すると、システムは窓又
は電極温度の増加を測定してその増加を検知する。上記
のように、システムは、窓又は電極温度の増加に応じて
ヒータ電力を減少させるか冷却電力を増加させるが、熱
が窓又は電極とヒータ間の接触抵抗を横切って窓又は電
極を介し、またヒータとヒートシンク間の接触抵抗を横
切ってヒータプレートを介して拡散するためのタイムラ
グが存在する。更に、ヒータに「貯蔵」された「過剰」
な熱エネルギーは、ヒータとヒートシンク間の接触抵抗
を横切って拡散する。このラグは、内部加熱や冷却負荷
が変化するときの窓又は電極の温度の制御に一層の困難
をもたらし、通常は窓又は電極温度の設定点まわりに何
らかの振動を生じる。
【0012】
【発明が解決しようとする課題】窓又は窓/電極に対す
る更なる問題(窓又は窓/電極を介してチャンバの外側
からチャンバの内側への電磁RFか誘導RF又はマイク
ロウェーブ電力の結合を許すタイプ)は熱伝達装置(ヒ
ータ及び/又はヒートシンク)の存在が上記の電磁RF
か誘導RF又はマイクロウェーブ電力の結合を妨げるこ
と、及び/又はRF又はマイクロウェーブ電力結合装置
の存在がヒータ及び/又はヒータシンクと窓又は窓/電
極間の熱伝達を妨げるかもしれないことである。
【0013】かくして、プラズマ処理チャンバで使用さ
れる窓又は電極又は窓電極を加熱及び/又は冷却するこ
とによって窓又は電極又は窓/電極の温度を設定点の充
分近くに制御して、チャンバ内の内部加熱又は冷却負荷
の変化やその他境界条件の変化と無関係に、所望のプロ
セスやプロセスシーケンスがプラズマプロセスチャンバ
内で実行できるような方法が要求される。
【0014】更に、プラズマ処理チャンバで使用される
窓又は窓/電極を加熱及び/又は冷却することによっ
て、窓又は窓/電極を介した電磁RFか誘導RF又はマ
イクロウェーブ電力の結合を妨げることなく窓又は電極
又は窓/電極の温度を設定点温度の充分近くに制御し
て、チャンバ内の内部加熱又は冷却負荷の変化やその他
境界条件の変化と無関係に、所望のプロセスやプロセス
シーケンスがプラズマプロセスチャンバ内で実行できる
ような方法が要求される。
【0015】更に、プラズマ処理チャンバで使用される
電極又は窓/電極を加熱及び/又は冷却することによっ
て、RF電力の容量又は静電結合を妨げたりRF電力の
上記容量又は静電結合用の接地又は戻り経路の終結又は
提供を妨げることなく電極又は窓/電極の温度を設定点
温度の充分近くに制御して、チャンバ内の内部加熱又は
冷却負荷の変化やその他境界条件の変化と無関係に、所
望のプロセスやプロセスシーケンスがプラズマプロセス
チャンバ内で実行できるような方法が要求される。
【0016】更に、プラズマ処理チャンバで使用される
窓又は電極又は窓/電極を加熱及び/又は冷却すること
によって、RF電力の容量又は静電結合を妨げたりRF
電力の上記容量又は静電結合用の接地又は戻り経路の終
結又は提供を妨げることなく、また窓又は窓/電極を介
した電磁RFか誘導RF又はマイクロウェーブ電力の結
合を妨げることなく電極又は窓/電極の温度を設定点温
度の充分近くに制御して、チャンバ内の内部加熱又は冷
却負荷の変化やその他境界条件の変化と無関係に、所望
のプロセスやプロセスシーケンスがプラズマプロセスチ
ャンバ内で実行できるような方法が要求される。
【0017】
【課題を解決するための手段】本発明の第1局面によれ
ば、プラズマを収容するためのチャンバと、チャンバに
連通する通路とを有するプラズマリアクタは、第1モジ
ュールハウジングと、ハウジング内の第1プラズマ閉じ
込め磁石とを含む、通路に隣接して置かれた第1の取り
外し可能プラズマ閉じ込め磁石ライナーモジュールによ
って強化される。それは更に、第2モジュールハウジン
グと第2プラズマ閉じ込め磁石とを含む、通路に隣接し
て置かれた第2の取り外し可能プラズマ閉じ込め磁石ラ
イナーモジュールを含む。第1と第2のモジュールは通
路の対向両側に配置されることが望ましい。更に、第1
と第2のプラズマ閉じ込め磁石は、通路を通るプラズマ
の輸送や漏洩を阻止するような磁気方位を持つ。モジュ
ールハウジングはアルミニウム等の比較的非磁性な熱伝
導体を含むと共に前記チャンバ本体と熱的に接触してい
ることが望ましい。冷却装置をチャンバ本体に熱的に結
合して、第1プラズマ閉じ込め磁石をそのキュリー温度
以下に維持することもできる。リアクタが、チャンバの
外周に隣接したポンピング環体(pumping annulus) を含
む場合は、通路はチャンバとポンピング環体の間を連絡
するものでよい。また、通路はウェーハスリットバルブ
又はガスフィードインレットでもよい。そのようなガス
フィードインレットは、チャンバの天井を通る中央ガス
フィードでもよい。モジュールハウジングはチャンバ側
壁に着座し、チャンバ天井はモジュールハウジングの上
に着座してもよい。
【0018】モジュールハウジングは開口部を含み、第
1磁石は開口部内に配置され、モジュールハウジングは
更に、第1磁石を開口部内の内面に取り付ける結合層
と、開口部を覆って第1磁石を第1モジュールハウジン
グ内にシールするカバープレートとを含むことが望まし
い。カバープレートと第1モジュールハウジング間に溶
接結合部(welding bond)をEビーム溶接、レーザー溶接
等によって形成することが望ましい。
【0019】本発明の第2局面によれば、天井、天井の
頂部に着座する複数の半導体冷却リング、及び複数の半
導体冷却リングの上に横たわるコールドプレートとを含
むリアクタチャンバエンクロージャを含むプラズマリア
クタは、複数の半導体冷却リングの各一つと Grafoil
(グラフォイル)等のコールドプレートとの間の弾性変
形可能な熱伝導層によって強化される。更に、RF反射
体コーティング(reflector coating) を弾性変形可能熱
伝導層と冷却プレートとの間に置いてもよい。RF反射
体コーティングは熱伝導性で、かつ導電性であることが
望ましく、アルミニウムでもよいが、これが冷却プレー
トへのRF電力の分路を阻止する。
【0020】本発明の第3局面によれば、プラズマリア
クタは、リアクタチャンバ、ワークピースをチャンバ内
で支持するための静電チャック、及びチャックがワーク
ピースを支持できる平面の方向に静電チャックを貫通し
て延びる複数の半導体接地ピン、を含む。ピンは、ピン
がワークピースと接触できる伸張位置と引込位置のとの
間で可動である。それは更に、ピンを支持するフレーム
を含み、フレームは接地電位と、フレームを動かしてピ
ンを伸張と引込位置の間に移動させるためのアクチュエ
ータとに接続可能である。ピンは例えば、シリコン又は
炭化ケイ素でよい。
【0021】発明の更なる局面によれば、半導体カラー
を静電チャックの外周の周りに形成してもよい。半導体
カラーは炭化ケイ素で、化学的気相堆積によって静電チ
ャックの外周部に形成してもよい。また、リアクタは更
に、静電チャックを囲む被加熱半導体リング(heated se
miconductor ring) を含んでもよい。被加熱半導体リン
グは、その熱膨張を許すためにラジアルスリットを備え
てもよい。
【0022】発明の更なる局面によれば、プラズマリア
クタは、複数の積み重ね式コイル巻線(stacked coil wi
ndings) を含む誘導アンテナを含み、各コイル巻線はほ
ぼ、複数の平行平面のそれぞれの平面内にあり、隣接す
る平面内の巻線は、各巻線が一平面から次の平面に移行
する全平面に共通する段部(step region) で接続され、
コイル巻線は一対の接続セクションを有する単一導体か
ら形成され、接続セクションの第1セクションは最上部
の巻線から延びると共に端部の第2セクションは最下部
の巻線から延び、第1接続セクションは最上部巻線から
離れて上方に延び、第2接続セクションは最下部巻線か
ら最上部巻線まで上方に弧状経路を辿ることによって、
段部に沿ってほぼ均一な有効積み重ね巻数(effective n
umber ofstacked windings)を提供する。
【0023】
【発明の実施の形態】アンテナ対ワークピースの隙間の
小さいプラズマリアクタでは、誘導アンテナパターン中
心ヌル(null)に対応するワークピースの中心領域近くの
プラズマイオン密度の減少を最小にするために、本発明
の目的は、中心領域での誘導電界の大きさを増加させる
ことである。本発明は、天井の上に横たわる誘導コイル
の巻きをアンテナの対称軸の近くに集中すると共に、そ
の中心領域でアンテナとプラズマ間の磁束リンケージ(f
lux linkage)の変化率(RF電源周波数における)を最
大にすることによってこれを達成する。
【0024】本発明によれば、対称軸まわりのソレノイ
ドコイルはその誘導コイル巻きを軸の近くに集中すると
同時に、ワークピースに隣接する中心領域でアンテナと
プラズマとの間の磁束リンケージの変化率を最大にす
る。これは、中心領域のプラズマに対する強い磁束リン
ケージと緊密な相互結合の要求に応じて、巻数が大き
く、コイル半径が小さいからである。(それと対照的
に、従来の平面状コイルアンテナは広い半径区域にわた
ってその誘導磁界を広げ、半径方向電力分布を外方に周
縁方向に押しやる)。本明細書中で理解されるように、
ソレノイド状のアンテナは複数の誘導エレメントを有
し、エレメントはワークピースの平面又はワークピース
支持面又は上に横たわるチャンバ天井に対して非平面状
に分布するか、ワークピース支持平面(チャンバ内のワ
ークピース支持ペデスタルによって画成される)に横方
向に異なる間隔で離間されるか、上に横たわるチャンバ
天井に横方向に異なる間隔で離間される。本明細書で理
解されるように、誘導エレメントは、チャンバ内のプラ
ズマ及び/又はアンテナのその他の誘導エレメントと相
互に誘導的に結合する通電(current-carrying)エレメン
トである。
【0025】本発明の好ましい実施態様は、中心部の近
くに一つのソレノイドを持つと共に外周半径位置にもう
一つのソレノイドを持つ二重ソレノイドコイルアンテナ
を含む。2つのソレノイドは異なるRF周波数で駆動し
てもよいし、同一周波数で駆動してもよいが、その場合
はソレノイドを位相ロック(phase-locked)することが望
ましく、またそれらの電界が建設的に相互作用するよう
に位相ロックすることが更に望ましい。内側と外側のソ
レノイド間の最大実用間隔(greatest practical displa
cement) が望ましいのは、それがワークピース外縁部で
のエッチング速度に対してワークピース中心部でのエッ
チング速度の最も多様な制御を提供するからである。熟
練作業者なら、RF電力、チャンバ圧力、及びプロセス
ガス混合体の電気陰性度(electro-negativity)を(分子
及び不活性ガスの適切な割合を選択することによって)
容易に変更して、ワークピースを横切るエッチング速度
の半径方向均一性を(プラズマリアクタを使用して)最
適化するための広範囲のプロセス窓を獲得できる。好ま
しい実施態様の、独立した内側と外側のソレノイド間の
最大間隔は次の利点を提供する: (1)最高の均一性制御と調節; (2)一方のソレノイドからの電界の他方のソレノイド
の電界に対する干渉を防止する、内側と外側のソレノイ
ド間の最高の絶縁;及び (3)温度制御エレメントが天井の温度制御を最適化す
るための天井の(内側と外側のソレノイド間の)最大間
隔。
【0026】図4(a)は、誘導電界の浸透厚さが隙間
の寸法のオーダーにあることを意味する短いワークピー
ス対天井隙間を持つ誘導結合RFプラズマリアクタの単
一ソレノイド実施態様(好ましい実施態様ではない)を
示す。本明細書で理解されるように、隙間寸法のオーダ
ーの浸透厚さとは、隙間寸法の因数10の範囲内(すな
わち、1/10と10倍の間)にあるもののことであ
る。
【0027】図8は、誘導結合RFプラズマリアクタの
二重ソレノイド実施態様を示し、本発明の好ましい実施
態様である。二重ソレノイドの形状を除けば、図4
(a)と8の実施態様のリアクタ構造は殆ど同一なの
で、ここでは図4(a)に関して説明する。リアクタは
図1のものと同様の円筒チャンバ40を含む。ただし、
図4(a)のリアクタは、巻線44がアンテナ対称軸4
6の近くで非平面状に密集した非平面状コイルアンテナ
42を持つ。図示の実施態様では巻線44は対称で、そ
の対称軸46はチャンバの中心軸と一致するが、本発明
を別の仕様で実施してもよい。例えば、巻線は対称形で
なくてもよく、及び/又はそれらの対称軸は一致しなく
てもよい。しかしながら対称形アンテナの場合は、アン
テナは、チャンバの中心かワーク中心と一致するその対
称軸の近くに放射パターンヌルを持つ。中心軸46周り
の巻線44の密集がこのヌルを補償すると共に、巻線4
4を、それぞれがチャンバ中心軸46から最小距離にあ
るようにソレノイド状に垂直に積み重ねることによって
達成される。これは、低いワークピース対天井高さのた
めにプラズマイオン密度が最も弱くなっているチャンバ
中心軸46の近くで、電流(I)とコイル巻数(N)の
積を増加させるが、これについては図3(d)と3
(e)に関して先に説明した。その結果、非平面状コイ
ルアンテナ42に加わるRF電力はより大きな誘導[d
/dt][N・I]を(周縁領域に対して)ウェーハ中
心部、すなわちアンテナ対称軸46の位置に発生させ、
従ってその領域に、より大きなプラズマイオン密度を発
生させるので、結果としてのプラズマイオン密度は、小
さなワークピース対天井高さにかかわらず、より均一に
近くなる。かくして、本発明は、プロセスの均一性を犠
牲にすることなく、天井高さを減少させてプラズマプロ
セス性能を高めるための方法を提供する。
【0028】図4(b)の図は、図4(a)と図8の実
施態様に使用される巻線の好ましい実施形態を最もよく
示している。巻線44をワークピース56の平面に少な
くともほぼ平行にするために、それらを通常の螺旋状に
巻くのではなく、巻きの間の(ひとつの水平面から次の
水平面への)段部又は移行部を除き、それぞれ個々の巻
きがワークピース56の(水平)面に平行になるように
巻くことが望ましい。
【0029】円筒チャンバ40は、円筒側壁50と、側
壁50に一体に形成された円形天井52とから構成され
るので、側壁50と天井52はシリコン等の材料から成
る単一ピースを構成する。しかしながら、本発明は、側
壁50と天井52を別々のピースとして形成するように
実施可能であり、これについては本明細書で後から説明
する。円形天井52は任意の適切な断面形状、例えば平
面(図4(a))、ドーム(図5)、円錐(図6)、円
錐台(図7)、円筒又はそれらの形状の任意の組合せ、
あるいは回転曲線(curve of rotation) でよい。それら
の組合せについては本明細書で後から説明する。通常、
ソレノイドの垂直ピッチ(すなわち、その垂直高さをそ
の水平幅で除したもの)は、ドーム、円錐、円錐台等の
3次元表面を形成する天井に対してさえも、天井52の
垂直ピッチを超える。この目的は、少なくとも好ましい
実施態様では、アンテナ対称軸の近くにアンテナの誘導
を集中させることであり、これは本明細書で先に説明し
た。天井のピッチを超えるピッチを有するソレノイドは
本明細書では非形状追随(non-conformal) ソレノイドと
呼び、一般に、その形状が天井の形に追従しないこと、
より詳細には、その垂直ピッチが天井の垂直ピッチを超
えることを意味する。2次元又は平坦な天井の垂直ピッ
チはゼロだが、3次元天井は非ゼロの垂直ピッチを持
つ。
【0030】チャンバ40の底部のペデスタル54は、
処理の間、ワークピース支持平面内の平面状ワークピー
ス56を支持する。ワークピース56は通常、半導体ウ
ェーハであり、ワークピース支持平面は一般に、ウェー
ハかワークピース56の平面である。チャンバ40は、
環状通路58を介して、チャンバ40の下部を囲むポン
ピング環体60にポンプ(図示せず)によって減圧排気
される。交換可能な金属ライナ60aでポンピング環体
の内部をライニングしてもよい。環状通路58は、円筒
側壁50の下端50aと、ペデスタル54を囲む平面リ
ング62によって画成される。プロセスガスは様々なガ
スフィードの任意の一つ又は全部を介してチャンバ40
に供給される。ワークピース中心部の近くのプロセスガ
ス流を制御するために、中央ガスフィード64aが天井
52の中心部を通ってワークピース56の中心(又はワ
ークピース支持平面の中心)の方向に下方に延びてもよ
い。ワークピース周縁部の近く(又はワークピース支持
平面の周縁部の近く)のガス流を制御するために、中央
ガスフィード64aとは独立に制御可能な複数の半径方
向ガスフィード65bが側壁50からワークピース周縁
部の方向に(又はワークピース支持平面周縁部の方向
に)半径方向内方に延びるか、又はベース軸方向ガスフ
ィード64cがペデスタル54の近くからワークピース
周縁部の方向に上方に延びるか、あるいは天井軸方向ガ
スフィード64dが天井52からワークピース周縁部の
方向に下方に延びることができる。ワークピース中心部
と周縁部におけるエッチング速度を相互に独立に調節し
て、ワークピース中心と周縁方向へのプロセスガス流量
を、それぞれ中央ガスフィード64aと外側ガスフィー
ド64b〜dの任意の一つを介して制御することによっ
て、ワークピースを横切る、半径方向により均一なエッ
チング速度分布を達成できる。本発明のこの特徴は、中
央ガスフィード64aと、外側ガスフィード64b〜d
の中の一つだけを使って実施できる。
【0031】ソレノイドコイルアンテナ42は中央ガス
フィード64を囲むハウジング66のまわりに巻かれ
る。プラズマソースRF電源68はコイルアンテナ42
を跨いで接続され、バイアスRF電源70はペデスタル
54に接続される。
【0032】オーバーヘッドコイルアンテナ42を天井
52の中心領域に制限することによって、天井52の上
面の大部分を未占拠の状態に置き、従って、例えばタン
グステンハロゲンランプ等の複数の放射ヒータ72と、
貫通する冷却通路74を持つ、例えば銅又はアルミニウ
ムから形成された水冷式コールドプレート74とを含む
温度制御装置と直接に接触させることができる。クーラ
ント通路74aは、熱伝導率は高いが電気伝導率は低い
既知の様々なクーラントを含むことによって、アンテナ
又はソレノイド42の電気的ローディングダウン(loadi
ng down)を避けることが望ましい。コールドプレート7
4は天井52を常に冷却する一方、放射ヒータ72の最
大電力を、必要な場合、コールドプレート74による冷
却を圧倒できるように選択して、応答性のある安定した
天井52の温度制御を促進する。ヒータ72で照射され
る広い天井面積が、温度制御の、より大きな均一性と効
率を提供する。(注目すべきは、本発明の実行には放射
加熱を必ずしも必要としないことで、熟練作業者なら、
代わりに電気加熱エレメントの使用を選ぶかもしれな
い。これについては本明細書で後から説明する)。天井
52がシリコンの場合は、Kenneth S. Collins他によっ
て1996年2月2日申請の同時係属中の米国特許出願
第08/597,577号に開示されているが、天井全体の温度制
御の均一性と効率を増大させることによって得られる大
きな利点が存在する。具体的には、ポリマー前駆体(pol
ymer precursor) とエッチング剤前駆体プロセスガス
(例えばフルオロカーボンガス)が使用されて、かつそ
のエッチング剤(フッ素)の捕集(scavenge)が望ましい
場合、天井52全体のポリマー堆積速度、及び/又は天
井52がフッ素エッチング剤スカベンジャ材料(シリコ
ン)をプラズマに供給する速度は、温度制御ヒータ72
に対する天井52の接触面積を増加させることによっ
て、より良く制御される。ソレノイドアンテナ42は、
ソレノイド巻線44が天井52の中心軸に集中している
ので、天井52の利用可能接触面積を増加させる。
【0033】熱接触のための天井52の利用可能面積の
増加は、底面が天井52に着座すると共に上面がコール
ドプレート42を支持する熱伝導性の高いトーラス75
(窒化アルミニウム、酸化アルミニウム、又は窒化ケイ
素から形成されるか、あるいは軽くドーピングされるか
ドーピングなしのシリコン又は炭化ケイ素等の非セラミ
ックから形成される)によって、好ましい実施形態で利
用される。トーラス75の一特徴は、それがコールドプ
レート74をソレノイド42の上部の充分に上方に追い
やることである。この特徴は、さもなければコールドプ
レート74の伝導平面の、ソレノイド42への近接から
生じるであろうソレノイド42とプラズマ間の誘導結合
の減少を実質的に緩和するか、ほぼ除去する。誘導結合
のこの減少を防止するために、コールドプレート74
と、ソレノイド42の上部巻線の間の距離を、少なくと
も実質的な分数(例えば1/2)にすることが望まし
い。トーラス75を貫通して延びる複数の軸方向孔75
aは2つの同心円に沿って離間され、複数の放射ヒータ
又はランプ72を保持し、それらが天井52を直接に照
射できるようにする。最高のランプ効率のために、孔の
内面を反射(例えばアルミニウム)層でライニングして
もよい。特定のリアクタ設計とプロセス条件によって
は、図4の中央ガスフィード64aを放射ヒータ72で
置き換えてもよい(図8に示す通り)。天井温度は、セ
ンサ、例えばランプヒータ72で占拠されない孔75a
の一つを通って延びる熱電対76によって検知される。
良好な熱接触のために、窒化ホウ素で含浸されたシリコ
ンゴム等の伝導性が高いエラストマー73をセラミック
トーラス75と銅製コールドプレート74の間と、セラ
ミックトーラス75とシリコン天井52の間に置く。
【0034】先に引用した同時係属中の出願に開示され
るように、チャンバ40は完全半導体チャンバでよく、
その場合、天井52と側壁50は共にシリコン又は炭化
ケイ素等の半導体材料である。先に引用した同時係属中
の出願に記載されるように、天井52か壁50のいずれ
かの温度とそれに加えられるRFバイアスの制御は、そ
れがフッ素捕集前駆体材料(シリコン)をプラズマに供
給する程度を調整するか、あるいは、それがポリマーで
被覆される程度を調整する。天井52の材料はシリコン
に限定されることはなく、その他に炭化ケイ素、二酸化
ケイ素(クオーツ)、窒化ケイ素、窒化アルミニウム、
又は酸化アルミニウム等のセラミックでよい。
【0035】先に引用した同時係属中の出願に記載され
るように、チャンバ壁又は天井50、52をフッ素スカ
ベンジャ材料の源として使用する必要はない。その代わ
りに、使い捨て半導体(例えばシリコンか炭化ケイ素)
部材をチャンバ40の内部に置いて充分高い温度に保つ
ことによって、半導体部材へのポリマーの凝結を防止す
ると共に、シリコン材料をそこからフッ素スカベンジャ
材料としてプラズマへ移動できるようにする。この場
合、壁50と天井52は必ずしもシリコンである必要は
ないが、シリコンの場合は、それらをポリマー凝結温度
(及び/又はポリマー凝結RFバイアス域値)に近いか
それ以下の温度(及び/又はRFバイアス)に維持でき
るので、プラズマからのポリマーで被覆されて消費から
保護される。使い捨てシリコン部材は任意の適切な形状
を取ることができるが、図4の実施態様では、それはペ
デスタル54を囲む環状リング62である。環状リング
62は高純度シリコンが望ましく、その電気的又は光学
的特性を変更するためにドーピングしてもよい。シリコ
ンリング64を、その得意とするプラズマプロセスへの
参加(例えば、プラズマ中のシリコン材料の、フッ素捕
集に対する寄与)を保証するだけの温度に保つために、
環状リング62の下に円形に配置された複数の放射(例
えばタングステンハロゲンランプ)ヒータ77が、クオ
ーツ窓78を通してシリコンリング62を加熱する。先
に引用した同時係属中の出願に記載されるように、ヒー
タ77は、温度センサ79(光学的高温計やフッ素光学
プローブ等の遠隔センサでよい)によって検知されたシ
リコンリング62の測定温度に従って制御される。セン
サ79はリング62の非常に深い孔62aの中に部分的
に延びてもよく、孔の深さと狭さがシリコンリング62
の熱放射率の温度依存変動を少なくとも部分的にマスキ
ングする傾向があるので、孔はより信頼性のある温度測
定用の灰色放射体にむしろ近い動きをする。
【0036】先に引用した米国特許売出願第08/597,577
号に記載されるように、完全半導体チャンバの利点は、
プラズマが、例えば金属等の汚染物質生成材料と接触し
ないことである。この目的のために、環状開口部58に
隣接するプラズマ閉じ込め磁石80、82がポンピング
環体60へのプラズマ流を阻止又は減少させる。引用し
た同時係属中の出願に記載されるように、ポリマー前駆
体及び/又は活性核種(active species)がポンピング環
体60への侵入に成功する限りにおいて、ライナ60a
を例えばポリマー凝結温度より明らかに低い温度に維持
することによって、交換可能な内部ライナ60a上の、
結果としてのポリマーや汚染堆積物の、プラズマチャン
バへの再侵入を防止することができる。
【0037】ポンピング環体60の外壁を通るウェーハ
スリットバルブ84はウェーハの出入に役立つ。チャン
バ40とポンピング環体60間の環状開口部58はウェ
ーハスリットバルブ84に隣接するほど大きく、反対側
では円筒側壁50の下端の傾斜によって最も小さくなる
ので、非対称ポンプ口位置によってチャンバ圧力分布を
より対称形にする。
【0038】チャンバ中心軸46近くの最大相互インダ
クタンスは、垂直積み重ね式ソレノイド巻線44によっ
て獲得される。図4の実施態様では、追加巻線45が底
部ソレノイド巻き44aに近い場合は、巻線44の垂直
スタックの外側で底部ソレノイド巻き44aの水平平面
内に、もう一つの巻き線45を追加してもよい。
【0039】図8の好ましい二重ソレノイド実施態様に
よって詳しく説明すると、外側位置にある(すなわち熱
伝導トーラス75の外周面に接した)巻線92の第2の
外側スタック又はソレノイド90は、ソレノイド巻線4
4の内側垂直スタックから半径方向距離δRだけ離れて
いる。図8では、図4(a)の場合と同様に、中心部へ
の内側ソレノイドアンテナ42の局部限定、及び周縁部
への外側ソレノイドアンテナ90の限定が、天井52の
上面の大きな部分を温度制御装置72、74、75と直
接に接触できるようにしていることに注目したい。利点
は、天井52と温度制御装置間の、このより大きな表面
積の接触が、天井52のより効率的でより均一な温度制
御を提供することである。
【0040】側壁と天井が、例えば内径12.6インチ
(32cm)のシリコンの単一ピースから形成されるリ
アクタでは、ウェーハ対天井の隙間は3インチ(7.5
cm)で、内側ソレノイドの平均径は3.75インチ
(9.3cm)だったが、外側ソレノイドの平均径は1
1.75インチ(29.3cm)で、0.03厚のテフ
ロン絶縁層で覆われた直径3/16インチの中空銅チュ
ーブを使用しており、各ソレノイドは4巻きから成り、
高さは1インチ(2.54cm)だった。外側スタック
又はソレノイド90は、第2の独立に制御可能なプラズ
マソースRF電源96によって電力供給される。目的
は、ユーザーによって選択可能な異なるプラズマ電源レ
ベルを、ワークピース又はウェーハ56に対して異なる
半径方向位置で加えることによってウェーハ表面を横切
る既知の処理非均一性を補償することであり、大きな利
点となる。独立に制御可能な中央ガスフィード64aと
周縁ガスフィード64b〜dに協同して、内側ソレノイ
ド42に加わるRF電力を外側ソレノイド90に加わる
RF電力に対して調節すると共に中央ガスフィード64
aを通るガス流量を外側ガスフィード64b〜dを通る
ガス流量に対して調節することによって、ワークピース
中心部のエッチング性能を端部のエッチング性能に対し
て調節してもよい。本プラズマリアクタは、上述のよう
に、インダクタンス電界の中心部ヌル又は落込み(dip)
の問題を解決するか少なくとも改善するが、他のプラズ
マ処理非均一性問題が存在する場合があり、これらは、
内側と外側のアンテナに加わる相対RF電力レベルを調
節することによって、図8の多能な実施態様で補償でき
る。この目的をより便利に達成するために、内側と外側
ソレノイド42、90に対するそれぞれのRF電源6
8、96を、共通電源97aと電力スプリッタ97b
(これは、内側と外側ソレノイド42、90の電界間の
固定位相関係を維持しながらユーザーによる内側と外側
ソレノイド42、90間の電力の相対配分の変更を可能
にする)によって置き換えてもよい。これは、2つのソ
レノイド42、90が同一周波数のRF電力を受ける場
合に特に重要である。その他に、2つの独立電源68、
96を使用する場合は、それらを異なるRF周波数で電
力供給してもよく、その場合は、各RF電源68、96
の出力位置でRFフィルタを取り付けて、2つのソレノ
イド間の結合からのオフ周波数(off-frequency) フィー
ドバックを避けることが望ましい。周波数差は2つのソ
レノイド間の結合を時間平均するだけの大きさでなけれ
ばならず、また更に、RFフィルタの排除帯域幅(rejec
tion bandwidth) を超えなければならない。好ましい方
式は、各周波数をそれぞれのソレノイドに対して独立に
共振するように整合させることであり、従来のインピー
ダンス整合技術の代わりに、各周波数をプラズマインピ
ーダンスの変化に追従するように(それによって共振を
維持する)変更してもよい。換言すれば、チャンバ内の
プラズマのインピーダンスによって負荷されるときに、
アンテナに加えられるRF周波数をアンテナの共振周波
数に追従させる。そのような実施形態では、2つのソレ
ノイドの周波数範囲は相互に排他的でなければならな
い。別の方式では、2つのソレノイドは同一周波数で駆
動され、この場合の両者の間の位相関係は、2つのソレ
ノイドの電界の建設的な相互作用や重畳をもたらすよう
にすることが望ましい。一般に、この要件は、2つのソ
レノイドが共に同一方向に巻かれている場合、それらに
加わる信号間のゼロ位相角によって満たされるだろう。
そうではなくて反対方向に巻かれている場合は、位相角
は180°が望ましい。いずれにしても、内側と外側ソ
レノイド間の結合は、内側と外側ソレノイド42、90
間に比較的大きな間隔を取ることによって最小化又は除
去できるが、これについては本明細書で以下に説明す
る。
【0041】上記の調節によって得られる範囲は、外側
ソレノイド90の半径を増して内側と外側ソレノイド4
2、90間の間隔を増すことによって増大するので、2
つのソレノイド42、90の効果は、それぞれワークピ
ース中心部と端部に一層、限定される。これによって、
2つのソレノイド42、90の効果を重畳する場合の制
御範囲をより大きくできる。例えば、内側ソレノイド4
2の半径はワークピース半径の約1/2より大きくては
ならず、その約1/3で以下であることが望ましい。
(内側ソレノイド42の最小半径は、一部分はソレノイ
ド42を形成する導体の直径により、また一部分は正確
な、例えば円形の電流通路がインダクタンスを発生させ
るための有限非ゼロ円周を提供するニーズによって影響
される)。外側コイル90の半径は少なくともワークピ
ース半径に等しく、ワークピース半径の1.5倍以上で
あることが望ましい。上記の構成によって、内側と外側
ソレノイド42、90のそれぞれの中央部と端部の効果
が非常に顕著なので、内側ソレノイドへの電力を増すこ
とによって、均一なプラズマを提供しながらチャンバ圧
力を数百mTに上昇させることができるし、また外側ソ
レノイド90への電力を増すことによって、均一なプラ
ズマを提供しながらチャンバ圧力を0.01mTのオー
ダーに減少させることができる。外側ソレノイド90の
この大きな半径の別の利点は、それが内側と外側ソレノ
イド42、90間の結合を最小にすることである。
【0042】図8は、オプションとして第3ソレノイド
を追加できることが破線で示されており、これは、非常
に大きなチャンバ直径に望ましい形態である。
【0043】図9は、外側ソレノイド90が平面巻線1
00によって置き換えられた、図8の実施態様の変形例
を示す。
【0044】図10(a)は、中央ソレノイド巻線が巻
線44の垂直スタック42ばかりでなく、更に第1スタ
ック42のすぐ近くに隣接した巻線104の第2垂直ス
タック102を含むことによって、2つのスタックが二
重巻きソレノイド104を構成する、図4の実施態様の
変形例を示す。図10(b)によれば、二重巻きソレノ
イド106は2つの独立巻き単一ソレノイド42、10
2から成り、内側ソレノイド42は巻線44a、44b
等から成り、外側ソレノイド102は巻線104a、1
04b等から成る。その他に、図10(c)によれば、
二重巻きソレノイド106は、少なくともほぼ同平面の
巻線の垂直積みペアから構成してもよい。図10(c)
の代わりに、ほぼ同平面の巻線の各対(例えばペア44
a、104a又はペア44b、104b)を単一導体の
螺旋巻きによって形成してもよい。本明細書で用いる用
語「二重巻き」は、図10(b)又は図10(c)のい
ずれかで示すタイプの巻線のことを言う。更に、ソレノ
イド巻線は単なる二重巻きでなくて三重巻き以上でもよ
く、一般に、それは対称軸に沿った各平面の複数の巻線
から構成できる。そのような多重巻きソレノイドを、図
8の二重ソレノイド実施態様の内側と外側ソレノイド4
2、90の一方又は両方に使用してもよい。
【0045】図11は、内側二重巻きソレノイド106
と同心の外側二重巻きソレノイド110を、内側ソレノ
イド106からδRの半径方向距離に置いた、図10
(a)の実施態様の変形例を示す。
【0046】図12は、外側二重巻きソレノイド110
を、図8の実施態様で使用された外側ソレノイドに対応
する通常の外側ソレノイド112によって置き換えた、
図11の実施態様の変形例を示す。
【0047】図13は、図8のソレノイド42を、中央
ガスフィードハウジング66から半径方向距離δRだけ
離れた位置に置いた、別の好ましい実施態様を示す。図
4の実施態様ではδRはゼロだが、図13の実施態様で
はδRは円筒側壁50の半径の明白な分数である。図1
3に示す程度までδRを増加させることは、図3(d)
と図3(e)に関して記載したプラズマイオン密度の通
常的な中心部落込みに加えて不均一性を補償するため
に、図4、8、10、11の実施態様の代替仕様として
役立つであろう。同様に、ソレノイド42をチャンバ中
心軸41から最小距離に置くと(図4の場合)ウェーハ
の中心部近くのプラズマイオン密度を大いに増加させる
結果、中心部近くのプラズマイオン密度の通常的落込み
を過剰修正してプラズマプロセス挙動における更に別の
不均一性を発生させるような場合には、図13の実施態
様が役立つであろう。このようなケースでは、δRがプ
ラズマイオン密度の最高の均一性をもたらす最適値にな
るように選択される場合に図13の実施態様が好まれ
る。この場合の理想は、プラズマイオン密度の通常的な
中心部落込みに対する修正不足と過剰修正の両者を避け
るようにδRを選択することである。δRの最適値の決
定は、熟練作業者によって、ソレノイド42を様々な半
径方向位置に置くと共に、従来技術を使って各ステップ
におけるプラズマイオン密度の半径方向プロフィールを
測定するという試行錯誤のステップによって実行でき
る。
【0048】図14は、ソレノイド42が逆円錐形を持
つ実施態様を示し、図15は、ソレノイド42が直立円
錐形を持つ実施態様を示す。
【0049】図16は、ソレノイド42が平面状螺旋巻
線120と組み合わされた実施態様を示す。平面状螺旋
巻線は、ソレノイド巻線42が誘導電界をワークピース
の中心部の近くに集中させる厳密さを、RF電力の一部
を中心部から若干離して分布させることによって減少さ
せる効果がある。この特徴は、通常の中心部ヌルに対す
る過剰修正を避ける必要がある場合に役立つであろう。
中心部からの誘導電界のこの偏向の程度は、平面状螺旋
巻線120の半径に対応する。図17は、ソレノイド4
2が図14と同様の逆円錐形を持つ、図16の実施態様
の変形例を示す。図18は、ソレノイド42が図15の
実施態様と同様の直立円錐形を持つ、図16の実施態様
の別の変形例を示す。
【0050】天井52上のRF電位は、例えばその上の
ポリマー堆積を防ぐために、その有効容量性電極面積を
チャンバの他の電極(例えばワークピースと側壁)に対
して小さくすることによって、増加させることができ
る。図19は、小面積版の天井52’を外側環体200
(小面積の天井52’はその環体から絶縁されている)
の上に支持することによってこれを達成する方法を示
す。環体200は天井52と同一の材料(例えばシリコ
ン)から形成してもよいし、円錐台形(実線で示す)あ
るいは切頭ドーム形(破線で示す)でもよい。ワークピ
ース中心部対端部のより良好な調節を許すために、独立
のRF電極205を環体200に接続してもよい。
【0051】図20は、天井52と側壁50が、独立制
御のRFバイアス電力レベルをそれぞれのRFソース2
10、212からそれらに加えることによって中心部エ
ッチング速度に対する制御を端部に対して選択的に高め
るようにした、互いに絶縁された独立の半導体(例えば
シリコン)ピースの、図8の実施態様の変形例を示す。
先に引用した、Kenneth S. Collins他による1996年
2月2日申請の米国出願第08/597,577号に一層詳しく記
載されるように、天井52は、それに加えられたRFバ
イアス電力をチャンバに容量結合する電極として働くと
同時に、ソレノイド42に加えられたRF電極がチャン
バに誘導結合されるための窓として働くようにドーピン
グされた半導体(例えばシリコン)材料でよい。このよ
うな窓−電極の利点は、RF電位が(例えばイオンエネ
ルギーの制御のために)ウェーハの直ぐ上に確立される
と同時に、RF電力をウェーハの直上に誘導結合するこ
とである。この後者の特徴は、独立に制御された内側と
外側ソレノイド42、90及び中央と周縁ガスフィード
64a、64bと協力して、最適の均一性を達成するた
めにワークピース端部に対するワークピース中心部のイ
オン密度、イオンエネルギー、エッチング速度、及びエ
ッチング選択性等の各種のプラズマプロセスパラメータ
を調節する能力を大いに向上させる。この組合せでは、
プラズマプロセスパラメータの上記の最適均一性を達成
するために、個々のガスフィードを通るガス流が個々に
独立して制御される。
【0052】図20は、ランプヒータ72を電気加熱エ
レメント72’で置き換える方法を示す。図4の実施態
様と同様に、使い捨てシリコン部材はペデスタル54を
囲む環状リング62である。環状リング62は高純度シ
リコンが望ましく、その電気的、光学的特性を変更する
ためにドーピングしてもよい。シリコンリング64を、
そのプラズマプロセスへの有利な関与(例えば、プラズ
マ中のシリコン材料の、フッ素捕集に対する寄与)を保
証するだけの温度に保つために、環状リング62の下に
円形に配置された複数の放射(例えばタングステンハロ
ゲンランプ)ヒータ77が、クオーツ窓78を通してシ
リコンリング62を加熱する。先に引用した同時係属中
の出願に記載されるているように、ヒータ77は、温度
センサ79(光学的高温計やフッ素光学プローブ等の遠
隔センサでよい)によって検知されたシリコンリング6
2の測定温度に従って制御される。センサ79はリング
62の非常に深い孔62aの中に部分的に延びてもよ
く、孔の深さと狭さがシリコンリング62の熱放射率の
温度依存変動を少なくとも部分的にマスキングする傾向
があるので、孔は、より信頼性のある温度測定用の灰色
放射体にむしろ近い動きをする。
【0053】図21は、天井52自体が、電気的に互い
に絶縁されると共に独立のRF電源214、216(単
一の差別制御式RF電源の個別の出力でよい)で個別に
バイアスされた、内側ディスク52aと外側環体52b
とに分割される、別の変形例を示す。
【0054】別の実施態様によれば、例えば、従来のマ
イクロプロセッサとメモリとを含むプログラマブル電子
コントローラ等の、図20と図21に示すユーザーアク
セス可能な中央コントローラ300が接続されて、中央
と周縁ガスフィード64a、64を通るガス流量、内側
と外側アンテナ42、90に加わるRFプラズマ電源レ
ベル、及び天井52と側壁50にそれぞれ加わるRFバ
イアス電力レベル(図20の場合)と内側と外側天井部
分52a、52bに加わるRFバイアス電力レベル(図
21の場合)、天井52の温度、及びシリコンリング6
2の温度を同時に制御する。天井温度コントローラ21
8は、天井温度センサ76によって測定された温度をコ
ントローラ300に既知の希望温度と比較することによ
って、ランプ電源220によってヒータランプ72’に
加えられる電力を支配する。リング温度コントローラ2
22は、リングセンサ79によって測定されたリング温
度をコントローラ222に既知の希望の記憶済リング温
度と比較することによって、シリコンリング62に面す
るヒータランプ77にヒータ電源224によって加えら
れる電力を制御する。マスターコントローラ300は温
度コントローラ218、222の希望温度、ソレノイド
電源68、96のRF電力レベル、バイアス電源21
0、212(図20)又は214、216(図21)の
RF電力レベル、RF電源70によって加えられるウェ
ーハバイアスレベル、及び各種ガスソース(又は個別の
バルブ)によってガスインレット64a〜dに供給され
るガス流量を支配する。ウェーハバイアスレベルを制御
する鍵は、ウェーハペデスタル54と天井52間のRF
電位差である。かくして、ペデスタルRF電源70か天
井RF電源212のいずれかは、RFアースに対する単
なる短絡でよい。上記のプログラマブル総合コントロー
ラでは、ユーザーはRFソース電力、RFバイアス電
力、及びワークピースの中心部と周縁部間のガス流量の
配分を容易に最適化して、ワークピースの表面を横切る
中央部対端部の最高のプロセス均一性(例えば、エッチ
ング速度とエッチング選択性との均一な半径方向分布)
を達成できる。また、ソレノイド42、90に加わるR
F電力をペデスタル54と天井52間のRF電力差に対
して(コントローラ300を介して)調節することによ
って、ユーザーは優勢に誘導結合されたモードか、優勢
に容量結合されたモードでリアクタを運転できる。
【0055】図20でソレノイド42と90、天井5
2、側壁50(又は図21では内側と外側天井部分52
a、52b)に接続された各種電源はRF周波数で運転
されるように説明したが、本発明は特定範囲の周波数に
限定されるものではなく、本発明の実施では熟練作業者
はRF以外の周波数を選択してもよい。
【0056】本発明の好ましい実施態様では、熱伝導性
が高いスペーサ75、天井52、及び側壁50は結晶シ
リコンの単一ピースから一体に形成される。
【0057】再び図8によって説明すると、好ましいプ
ラズマ処理チャンバは窓/電極52を含む。窓/電極5
2は、一つ以上の外部(外側チャンバ)アンテナ又はコ
イルからチャンバ内のプラズマへの電磁又は誘導電力結
合に対する窓として機能すると共に、RF電力をチャン
バ内のプラズマに電磁的又は容量的に結合するための
(又はRF電力の上記容量又は静電結合用の接地又は戻
り経路を終結又は提供するための)、又はワークピース
やウェーハをバイアスするための電極として機能できる
ように、先に引用した出願に詳しく記載される半導体材
料から製作される。
【0058】窓/電極52は先に引用した出願に記載さ
れるように任意の形状でよいが、この例では、先に引用
した出願に記載されたプラズマ閉じ込め用の、ディスク
から外方に延びる円筒壁又はスカートをオプションで含
むほぼ平らなディスクである。
【0059】窓/電極52は、伝熱材料75を介してヒ
ートシンク74に接続(interfaced)される。通常、ヒー
トシンク74は水冷式金属プレートで、アルミニウムか
銅等の良好な熱伝導体が望ましいが、オプションとして
非金属でもよい。ヒートシンク74は通常、好ましく
は、水又はエチレングリコール等の液体クーラントを使
用するタイプの冷却装置で、クーラントはヒートシンク
74内の充分な表面積を持つ冷却通路を、閉ループ熱交
換器かチラーによって強制通過させられる。液体流量又
は温度はほぼ一定に保たれる。その他に、液体流量又は
温度は温度制御装置の出力変量(output variable) でも
よい。
【0060】窓/電極に熱を加えるには放射加熱を使用
することが望ましい。放射ヒータ72は、ハロゲンと不
活性ガスの混合体で満たされたクオーツエンベロープを
利用した複数のタングステンフィラメントランプであ
る。放射ヒータが他のタイプのヒータより好まれるのは
熱ラグが最小になるからである。すなわち、タングステ
ンフィラメントランプの熱キャパシタンスは非常に低い
ので、電力設定の変更に対するフィラメント温度(従っ
てパワー出力)の時間応答が短く(<1秒)、またラン
プフィラメントと負荷の間の熱伝達メカニズムは放射に
よるために加熱の総熱ラグが最小になる。更に、タング
ステンフィラメントランプの熱キャパシタンスは非常に
低いので、ランプに貯えられる熱エネルギーの量が非常
に低く、制御システムによって加熱電力の削減が要求さ
れるときにフィラメント温度が急速に低下し、従ってラ
ンプの出力パワーも急速に低下する。図8に示すよう
に、ランプ72は、可能な最速の応答のために負荷(窓
/電極52)に直接に照射する。しかしながら、別法と
して、ランプ72は伝熱材料75を照射してもよい。ラ
ンプ加熱を1つ以上のゾーンで行なう、すなわち窓/電
極の熱均一性を改善するために軸から2つ以上の半径位
置にランプを設けてもよい。最大の熱的均一性のため
に、2つ以上のゾーンのランプは独立制御を備え、各ゾ
ーンはそれ自体の温度測定、制御装置、及び出力変換器
を利用してもよい。これは、チャンバの内側からの熱流
束(heat flux) の空間分布がプロセスパラメータ、プロ
セス、プロセスシーケンスその他の環境条件によって変
化するときに特に役に立つ。
【0061】伝熱材料75は、伝熱材料75と窓/電極
52が仮に2つの独立部品だったら存在するであろう熱
接触抵抗を除去するために、同一材料で形成される窓/
電極52と一体に、単体構造になるように形成してもよ
い。その他に、伝熱材料75と窓/電極52は、一つに
結合された(窓/電極52は誘導アンテナ90、92及
び/又は42、44を使用してRFかマイクロ波電力の
誘導又は電磁結合に使用されるので高電気抵抗性材料を
用いることが望ましい)、伝熱材料75と窓/電極52
間の熱接触抵抗を最小にする、同一又は異なる材料の2
つの部品でもよい。
【0062】その他に、伝熱材料75と窓/電極52
は、接触抵抗を介して一つに接続(interfaced)された同
一又は異なる材料の2つの部品でもよい。この場合、伝
熱材料75は高電気抵抗率の熱伝導性の高い材料から作
られることが望ましい。更に、密度と比重の積が低いこ
とが望ましい。SiC、Si、AlN、及びAl23
その例である。
【0063】SiCの特徴を以下に示す: 熱伝導率: 130W/m・K 電気抵抗率: >105Ω・cm 比熱: 0.66J/gr・K 密度: 3.2gr/cm3 軽度に(重度ではない)ドーピングされ、以下の特性を
持つ場合(すなわち1014/cm3)、シリコンも使用
可能である: 熱伝導率: 80W/m・K 電気抵抗率: 20〜100Ω・cm 比熱: 0.7J/gr・K 密度: 2.3gr/cm3 窒化アルミニウム又は酸化アルミニウムはその他の代替
材である。
【0064】伝熱材料75は、この技術分野で周知の技
術によって(例えば熱可塑性樹脂、エポキシ、その他の
有機又は無機の結合材を使って)、ヒートシンク74に
近接した区域に高電気抵抗率の結合材を必要とする制約
なしに、ヒートシンク74に結合してもよい。これは、
伝熱材料75とヒートシンク74間に非常に低い熱接触
抵抗を提供する。
【0065】伝熱材料75は、誘導アンテナ90、92
及び/又は42、44をヒートシンク74(それが金属
の場合は、誘導アンテナ90、92及び/又は42、4
4の近傍で作られる誘導電界に対する接地平面又は反射
体を形成する)から分離する働きもする。ヒートシンク
が金属で、誘導アンテナ90、92及び/又は42、4
4に近すぎる場合、渦電流が接地平面に誘導されて電力
損失の原因となる。更に、アンテナ90、92及び/又
は42、44を通るRF電流は、所定のRF電力を駆動
するために非常に大きくなるので、回路のI2R損失を
増加させる。アンテナ90、92及び/又は42、44
はそれぞれ4回巻きで、外径1/4インチのテフロンチ
ューブで絶縁された直径3/16インチの水冷式銅チュ
ーブから構成され、高さ1インチのコイルをなす。窓/
電極52と金属ヒートシンク74間の許容距離は約2イ
ンチで、アンテナ90、93及び/又は42、44の頂
部とヒートシンク74間の距離は約1インチとなる。
【0066】上述のように、伝熱材料75と窓/電極5
2間、及び伝熱材料75とヒートシンク74間の熱接触
抵抗は、材料を一体に結合することによって最小にでき
る。また、上記の説明も窓/電極52と伝熱材料75を
単体の材料から形成する例であって、一方の熱接触抵抗
が除去された。しかし、場合によっては、一方又は両方
の熱接触抵抗を避けることができない。しかしながら、
本プラズマリアクタの特徴によって熱接触抵抗の最小化
が可能であり、それについて説明する。
【0067】2部品間の熱接触抵抗は2つの並列要素か
ら構成される:すなわち1)部品間の機械的点接触、及
び2)部品間の空気(又はその他媒体)を介した伝導で
ある。空気その他の媒体が存在しない場合は、2部品間
の熱接触抵抗は非常に高く、プラズマリアクタの通常運
転時に課せられる高い熱負荷のために、窓/電極52の
加熱及び/又は冷却のために通常では許容されない。空
気の存在は機械的点接触単独より低い熱接触抵抗を生じ
るが、両部品の表面粗さと平面度の関数である部品間の
有効隙間によって通常、二義的である。気体の平均自由
行程が部品間の有効隙間に対して小さい高圧連続態(con
tinuum regime)の空気では、空気の熱伝導率はガス圧に
対して不変であり、単位面積当りの熱コンダクタンス
は、単なる空気の熱伝導率対有効隙間の比となる。大気
圧で100℃の空気では、熱伝導率は約0.03W/m
・Kである。隙間を跨ぐ熱伝達は、低いチャンバ圧力に
より、また2部品間の機械的接触が単なる点接触である
という事実によって制限される。 本プラズマリアクタ
の第1実施態様によれば、熱伝達を改善するために、
(好ましくは)ヘリウム等の熱伝導性ガスか、アルゴ
ン、クセノン等の不活性ガスである別の熱伝導性ガスを
伝熱材料75とヒートシンク74間の隙間、及び/又は
伝熱材料75と窓/電極52間の隙間に置くことができ
る。隙間の熱伝導性ガスはチャンバ圧力より上に大気圧
と同じ高さまで加圧されるのが最良であるが、隙間の伝
熱ガスの圧力はチャンバ圧力と大気圧の間にあることが
望ましい。ヘリウムは、大気圧で100℃において約
0.18W/m・Kの熱伝導率を持つので、熱伝導性ガ
スとして好ましい選択である。伝熱材料75とヒートシ
ンク74間の熱接触抵抗を最小にするために、ヘリウム
をそれらの間の各境界面にヒートシンク74内のヘリウ
ム分配マニホールドを介して提供できるが、これは本明
細書で以下に詳しく述べる。これまた以下に詳しく説明
するように、小さい断面積と低いデュロメータ硬度のO
−リングを使って、伝熱材料75とヒートシンク74間
のヘリウムの漏れを削減できる。伝熱材料又はリング7
5の上面からの貫通孔は、ヒートシンク74と伝熱材料
リング75間の上部境界面から伝熱材料75と窓/電極
52間の境界面まで、ヘリウム通路を連絡できる。各伝
熱リング75はRF電界を吸収しにくい任意の熱良導体
から形成できる(例えば、比較的高い電気抵抗率を持っ
た熱伝導体)。適切な材料の一つは炭化ケイ素だが、半
導体性又は誘電性の他の材料、例えば窒化ケイ素、窒化
アルミニウム、又は酸化アルミニウムを含むタイプのセ
ラミック材料も使用できる。しかしながら、伝熱リング
75用の材料としては炭化ケイ素が好ましい。前記のヒ
ートシンク74内に配置されたヘリウム分配マニホール
ドに大気圧よりやや上の圧力でヘリウムを供給して、さ
もなければ熱接触抵抗を増加させるであろう空気による
ヘリウムの希釈を最小にすることができる。
【0068】伝熱材料75と窓/電極52の間、及び伝
熱材料75とヒートシンク74間に他の材料を使用して
熱接触抵抗を最小にすることができる。例としては、窒
化ホウ素か炭化ケイ素かシリコンか窒化アルミニウムか
酸化アルミニウム等の熱伝導性の追従性のあるエラスト
マーパッド、及び類似の材料である。ヒートシンク74
に隣接する境界面に金属含浸エラストマーパッドを使っ
てもよいが、一般に導体を窓電極52に隣接して置いて
はならないという上述の同じ理由から、窓/電極52に
隣接して使用しない。1100シリーズアルミニウム、
インジウム、銅、又はニッケル等の軟質金属をヒートシ
ンク74に隣接する境界面に使用してもよいが、上記の
理由から窓/電極52に隣接して使用してはならない。
【0069】冷却能力と加熱パワーの要件は、1)窓/
電極の要求温度制御範囲、2)最小と最大熱内部負荷、
3)窓/電極、伝熱材料、ヒートシンクプレート、及び
ヒートシンクプレートと伝熱材料と窓/電極間の境界面
の材料特性と物理的寸法、及び4)ヒートシンクの温度
によって、最良に選択又は決定される。一般に、冷却能
力はまず、最高内部熱負荷を持つ窓/電極の運転の最低
必要温度に対して決定され、その後、加熱パワーが、最
低内部熱負荷(通常、ゼロ内部熱負荷)を持つ窓/電極
の運転の最高要求温度に対する冷却を圧倒するように決
定される。
【0070】図22は図8の一部の拡大図に対応するも
ので、半導体窓電極52と一体に形成されない熱伝導性
スペーサ75の両面(上、下)における熱伝導性ガス境
界面の前記コンセプトの一実施形態を示す。図22で
は、図8で示したように、上に横たわるコールドプレー
ト74が複数の円筒スペーサリング75を、下にくる半
導体窓電極52で挟み付ける。各スペーサ又はトーラス
75は、上述のように、半導体窓電極52とは異なる材
料でよい。マニホールド1000をコールドプレート7
4内に形成して、その中にヘリウム等の熱伝導性ガスを
正圧のガス源(ソース)1010から供給してもよい。
必ずしも必要ではないが、なるべくソース1010の正
圧は2部品間の狭い隙間内の圧力を、リアクタチャンバ
圧力より明らかに上だが大気圧よりも低く維持するよう
に選択する。ガスオリフィス1020は、マニホールド
1000をコールドプレート74とスペーサ75間の上
部境界面1030に連絡するので、熱伝導性ガス(例え
ばヘリウム)による境界面1030内の空隙(voids) の
充満を許す。軸方向通路1040をスペーサ75を貫通
してその上下面の間に設ける。軸方向通路1040は上
部境界面1030を、スペーサ75の下面と下にくる半
導体窓電極52との間の下部境界面1050に連絡す
る。軸方向通路1040によって、熱伝導性ガスが上部
境界面1030から下部境界面1050へ流れて、下部
境界面1050内の空隙を充満できるようにするので、
熱伝導性ガスは上部と下部の境界面1030、1050
の両者の空隙を充満する。ソース1010が熱伝導性ガ
スマニホールド1000を正圧に(例えば、チャンバ圧
力よりも5psi高く)維持することによって、ガスは
両境界面1030、1050に流れる。境界面103
0、1050からの熱伝導性ガスの漏れを削減又は防止
するために、組み立て時に小断面のO−リング107
0、1080を上部と下部の境界面にそれぞれ挟み込
む。O−リング1070、1080は、それぞれのガス
マニホールド1000、1040と連通するそれぞれの
境界面1030、1050内の殆ど無限に薄いガス含有
容積を形成する。
【0071】図23は、半導体窓電極52と一体に形成
された誘導トーラススペーサ75のアレイを収容するた
めに図22の実施態様を変形する方法を示す。この場合
は、熱伝導性ガスによって充満される唯一の境界面は上
部境界面1030である。
【0072】図24は図8の一部の拡大図に対応するも
ので、半導体窓電極52と一体に形成されない熱伝導性
スペーサ75の両面(上、下)における熱伝導性固体境
界面材料の前記コンセプトの一実施形態を示す。図22
では、図8で示したように、上に横たわるコールドプレ
ート74が複数の円筒スペーサリング75を、下にくる
半導体窓電極52で挟み付ける。各スペーサ又はトーラ
ス75は、上述のように、半導体窓電極52とは異なる
材料でよい。熱伝導固体境界面材料層1085、109
0がそれぞれ上部と下部境界面1030、1050の一
方又は両方に置かれる。固体材料層が上部と下部境界面
1030、1050の一方だけに置かれる場合は、残り
の境界面を図22の方法で熱伝導性ガスで充満してもよ
い。しかしながら、図24は、熱伝導性固体境界面材料
層が両境界面1030、1050に存在する場合を示
す。上述のように、上部境界面1030の固体境界面材
料層1085は軟質金属でよいが、下部境界面1050
の固体境界面材料層1090は、電極52の隣にあるの
で高い導電性を持つことができない。上部層1085は
軟質アルミニウム、インジウム、銅、又はニッケル、あ
るいは上記金属の粉末か粒子で含浸されたエラストマー
でよい。上部層と下部層1085、1090のいずれか
一方は、窒化ホウ素、高電気抵抗率(例えばバルク(bul
k))炭化ケイ素かシリコン、窒化アルミニウム、酸化ア
ルミニウム等の熱伝導性の電気絶縁材料の粉末か粒子で
含浸されたエラストマーでよい。その他に、材料層10
85、1090のいずれか一方又は両方は、結合材料、
例えば熱可塑性樹脂、エポキシ、又は有機か無機の結合
材料でよい。
【0073】図25は、半導体窓電極52と一体に形成
された誘導トーラススペーサ75のアレイを収容するた
めに図24の実施態様を変形する方法を示す。この場合
は、充満される唯一の境界面は上部境界面1030であ
る。
【0074】本発明は、冷却が難しいリアクタチャンバ
内部の加熱部品、例えば図8に関して上述したポリマー
硬化前駆体材料の加熱使い捨てリング62、に伴う厳し
い冷却問題も解決する。(リング62は、ヒータを備え
ていない場合に、プラズマ加熱によってのみ加熱される
かもしれないが、それでも冷却が必要である)。それ
は、直接の加熱が難しいリアクタチャンバ内部の加熱部
品の問題も解決する。
【0075】図26、27を参照すると、リング62の
直下の、熱接触するコールドプレート1100は、クー
ラント循環ポンプ1120からのクーラントを受け入れ
る内部クーラントジャケット1110を持つ。コールド
プレート1110とリング62間の境界面1130は、
熱伝導性ガス(図26の場合)又は熱伝導固体材料層1
140(図27の場合)等の熱伝導率強化物質で充満さ
れる。熱伝導性ガスは熱伝導が可能な任意のガス、例え
ば不活性ガスや、リアクタチャンバで使用されるプロセ
スガスに類似したガスでもよいが、ヘリウム等の不活性
ガスが望ましい。熱伝導性ガスを使用する図26の実施
態様の場合は、コールドプレート1100を通るマニホ
ールド1150が、マニホールド1160を介して境界
面1130に熱伝導性ガスを供給する熱伝導性ガスソー
ス1160に接続される。境界面1130からのガス漏
れを制御して、リングのはめ込み時に低断面積のO−リ
ング1070’をコールドプレート1100とシリコン
リング62間に挟むことによって損失を削減又は防止す
ることが望ましい。
【0076】ヘリウムは隙間内の熱伝導性ガスとして好
ましいが、大気圧以下のリアクタチャンバ内部の加熱又
は冷却部品に適用する場合は、チャンバ圧力より大きい
が大気圧よりも低い圧力の、処理ガスを含む任意のガス
で充分である。その場合は、チャンバへのガス漏れが許
されるので、O−リングやエラストマー等の外周シール
の使用を必要としない。熱伝導性ガス(又は「伝熱ガ
ス」)はチャンバ圧力よりも上に加圧されるので、何ら
かのクランプ力を加える必要がある。そのようなクラン
プ力は機械的でもよいし、プレート1100とリング6
2間に静電的に導いてもよい。このような静電クランプ
の特徴は、少なくとも部分的に絶縁性の材料をプレート
1100とリング62間に置くことを要求するだろう。
この特徴が、熱伝導性ガスの漏れを制御するための外周
シールのニーズを除去できる。上記の静電クランプの特
徴を本明細書で図30に関して以下に説明する。
【0077】熱伝導性ガスは任意の適切なソースから導
くことができる。例えば、ウェーハペデスタルがウェー
ハの下面の冷却にヘリウムを使用する場合は、ウェーハ
と共にチャンバ内の他の部品(リング62等)を冷却す
るために共通ヘリウムソースを使用してもよい。
【0078】図27の実施態様では、固体熱伝導性材料
1140は軟質アルミニウム、インジウム、銅かニッケ
ル、又は上記金属の粉末か粒子で含浸されたエラストマ
ーでよいし、窒化ホウ素、高抵抗率(例えばバルク)の
炭化ケイ素かシリコン、窒化アルミニウム、酸化アルミ
ニウム等の熱伝導性の電気絶縁材料の粉末か粒子で含浸
されたエラストマーでもよい。
【0079】本プラズマリアクタは冷却チャンバ壁とチ
ャンバライナにも同様に関心を持つ。図28によれば、
上記のいずれのリアクタのチャンバ側壁50も、壁50
の外部の一部に隣接する外部コールドプレート1210
によって冷却できる。コールドプレートは、内部クーラ
ントジャケット1220を含み、その中をクーラントが
クーラントポンプ1230によって再循環される。コー
ルドプレート1210と側壁50間の境界面1240
は、ガスを正圧に保つガス源1250から、コールドプ
レートを通るマニホールド1245を介して、境界面1
240に供給される熱伝導性ガス(ヘリウム等)で充満
される。境界面1240からの熱伝導性ガスの漏れは、
組立時にコールドプレート1210と側壁50間に置か
れたO−リング1260によって削減又は防止される。
O−リング1260は、殆ど無限に薄い、マニホールド
1245と連通する境界面1240のガス含有容積を画
成する。
【0080】内部チャンバライナ1300は、側壁50
等の冷却された本体への熱伝導によって冷却してもよ
い。本プラズマリアクタによれば、そのような冷却は、
ライナ1300と側壁50の内面の間の境界面1310
をヘリウム等の熱伝導性ガスで充満することによって高
められる。この目的のために、狭い半径方向ガスチャン
ネル1320を側壁50を通して設けて、外側壁面上の
境界面1240と内側壁面上の境界面1310間にガス
流を提供する。マニホールド1245を介して供給され
る熱伝導性ガスは、外面境界面1240を満たし、チャ
ンネル1320を通してライナ1300と側壁50間の
内面境界面1310を充満する。ガスの漏れを削減又は
防止するために、組立時にO−リング1370を側壁5
0とライナ1300間にはめる。O−リング1370は
側壁50内のガスチャンネル1245と連通する境界面
1310内の殆ど無限に薄いガス含有容積を画成する。
【0081】図29は、熱伝導ガスの代わりに、境界面
1240と1310のそれぞれに固体材料層1370、
1380を代用することによって、図28の実施態様を
変形する方法を示す。図29の実施態様では、固体熱伝
導材料の各層1370、1380は軟質アルミニウム、
インジウム、銅かニッケル、又は上記金属の粉末か粒子
で含浸されたエラストマーでよいし、窒化ホウ素、高抵
抗率(例えばバルク)の炭化ケイ素かシリコン、窒化ア
ルミニウム、酸化アルミニウム等の熱伝導性の電気絶縁
材料の粉末か粒子で含浸されたエラストマーでもよい。
【0082】図30は、リング62を静電的にクランプ
する特徴をコールドプレート1100に含めるように図
26の実施態様を変形する方法を示す。図30では、誘
電体層1410がポリマー硬化前駆体リング62とコー
ルドプレート1100間に挿入され、静電クランプ用電
圧がクランプ用スイッチ1430によってDC電圧ソー
ス1420から印加される。絶縁又は誘電体層1410
の導入は、コールドプレート1100と絶縁層1410
間の隙間1130aと、リング62と絶縁層1410間
の隙間1130bとを作り出す。絶縁層1410は貫通
する通路1412を持つので、通路1150から隙間1
130aに供給されるガスは他方の隙間1130bに流
れることができる。図30は、O−リング1070’が
両隙間1130a、1130bをシールする状態を示す
が、誘導される静電クランプ力によっては、それらのO
−リングを必要としなくてもよい。
【0083】本プラズマリアクタは、チャンバの内側
(チャンバライナ、使い捨てシリコンリング等)とチャ
ンバの外側(窓電極、側壁等)の両リアクタの受熱エレ
メントと冷却プレート又はコールドシンク間の境界面を
横切る熱伝導率の大きな改善(ヘリウムを導入した場合
は約6倍)をもたらす。その結果、本プラズマリアクタ
の多くの重要部品の温度の自動制御は、従来技術のそれ
を超える新しい能力まで改善される。本発明はこれを、
各種境界面における次の2つの特性モードのうちの一方
か、その組合せで達成する:すなわち(a)境界面への
熱伝導性ガスの導入及び(b)境界面の熱伝導性固体層
の導入。これは効率的に制御された同一エレメントの加
熱と協力して、このように加熱、冷却された上記の各エ
レメントの温度の正確なフィードバック制御を可能にす
る。
【0084】伝熱材料及び/又はリアクタの物理的寸法
の選択では、必要な冷却コンダクタンス(G)は次のよ
うに決定される: G=総最大内部熱負荷(W)/△T1(℃) ここで、△T1=ヒートシンク温度と最小窓/電極温度
間の差。
【0085】その他に、伝熱材料と物理的寸法がすでに
選択されている場合は、必要ヒートシンク温度を、△T
1用の上式をGの関数として再構成することによって普
通に計算できる。
【0086】次に、加熱パワーは以下のように決定され
る: P=制御表面に供給される必要総外部加熱パワー(W) P=(G・△T2)−Pmin ここで、Gは上記からの冷却コンダクタンス(W/
℃)、 △T2=ヒートシンク温度と最大窓/電極温度間の差 Pmin は窓/電極に対する最小内部熱負荷である。
【0087】実施例1 窓/電極52と伝熱リング75とはモノリシックピース
として一体に形成され、窓/電極52は直径12.81
インチ、厚さ0.85インチの平らな円形ディスクであ
る。窓/電極52と一体に形成されるのは下記の内、外
径を持つ高さ2インチの4つの同心円筒伝熱リング(7
5)のアレイである: 1.外側伝熱リング−−外径12.80インチ、内径1
0.79インチ、 2.中間伝熱リング−−外径9.010インチ、内径
7.595インチ、 3.内側伝熱リング−−外径5.715インチ、内径
3.940インチ、 4.中央伝熱リング−−外径2.260インチ、内径
0.940インチ。
【0088】窓/電極52、及び同心円筒伝熱リング7
5の一体式アレイは、下記の熱的、電気的特性を持つ多
結晶シリコンの単一インゴットから一体に製作される: ドーピングレベル: 1014/cm3、ホウ素又はリン 熱伝導率: 80W/m・K 電気抵抗率: 20ないし100Ω・cm 比重: 0.7J/gr・K 密度: 2.3gr/cm3 複数の120Vrmsで750Wのタングステンフィラ
メントランプ72が使用される。ランプの数は、測定さ
れた73%効率(出力パワー/AC入力パワー)と80
Vrmsで400Wの最高運転レベル(ランプ寿命を長
くするため)に基づいて選択される。2つのヒートゾー
ンが使用され、外側円上のランプが一方の(外側)ゾー
ンを構成し、内側円上と中心のランプが第2(内側)ゾ
ーンを構成する。各ゾーンはそれ自体の温度測定装置
(窓/電極表面に接してスプリング装填されたタイプK
の熱電対)と、それ自体の出力変換器(位相角コントロ
ーラ)とを持つ。Sylvania製のランプは次のように配備
される:直径13.55インチの円上に等角度間隔(2
4度)でランプ15個、直径6.655インチの円上に
等角度間隔(24度)でランプ15個、中心軸上にラン
プ1個。
【0089】外側ランプ円は、ヒートシンク74と一体
の円筒研磨アルミニウム反射体によって外側を囲まれて
いる。
【0090】外側ソレノイドアンテナ90は4巻きで、
高さ1インチ、平均径10インチのコイルをなす外径1
/4インチのテフロンチューブで絶縁された直径3/1
6インチの水冷式銅チューブから構成され、先に引用し
た特許出願に記載されるように巻かれている。
【0091】内側ソレノイドアンテナ42は4巻きで、
高さ1インチ、平均径3.25インチのコイルをなす外
径1/4インチのテフロンチューブで絶縁された直径3
/16インチの水冷式銅チューブから構成され、先に引
用した特許出願に記載されるように巻かれている。
【0092】ヒートシンクプレート74は水冷式アルミ
ニウムプレートで、流量2ガロン/分の50/50%水
/エチレングリコール混合液を使って、閉ループ熱交換
器によって75℃に維持される。ヒートシンク74はラ
ンプソケットを備え、ソケットへの固有のランプ損失
(約27%)のために必要なランプ72用の冷却を提供
する。ヒートシンクプレート74は内側と外側のソレノ
イドアンテナ42、90用のフィードスルーを含む。ヒ
ートシンク74はアンテナ42、90用の接地平面とし
ても働く。ヒートシンクプレート74は、各伝熱リング
75の外径の直ぐ内側と各伝熱リング75の内径の直ぐ
外側に配備される直径0.139インチ、デュロメータ
硬度30の軟質O−リングを収容するためのO−リング
溝を含む。ヒートシンク74は同心円筒伝熱リング75
の一体式アレイの上面に取り付けられる。両表面(ヒー
トシンク74の下面と伝熱リング75の上面)の表面粗
さは1マイクロインチよりも小さい。各表面の平面度は
0.0005インチより小さい。ヒートシンクの下面と
伝熱リングの上面間の有効隙間は0.001インチより
も小さい。
【0093】実施例2 窓/電極52と伝熱リング75とは、異なる材料で形成
された独立ピースである。窓/電極52は直径14.5
2インチ、厚さ0.85インチの平らな円形ディスクで
ある。下記の内、外径を持つ高さ2インチの4つの同心
円筒伝熱リング75の独立アレイがヒートシンクプレー
トと窓電極の間に置かれる: 1.外側伝熱リング−−外径12.70インチ、内径1
0.67インチ、 2.中間伝熱リング−−外径8.883インチ、内径
7.676インチ、 3.内側伝熱リング−−外径5.576インチ、内径
3.920インチ、 4.中央伝熱リング−−外径2.080インチ、内径
1.050インチ。
【0094】窓/電極52は、下記の熱的、電気的特性
を持つ多結晶シリコンの単一インゴットから一体に製作
される: ドーピングレベル: 1014/cm3、ホウ素又はリン 熱伝導率: 80W/m・K 電気抵抗率: 20ないし100Ω・cm 比重: 0.7J/gr・K 密度: 2.3gr/cm3 同心円筒伝熱リング75は下記の熱的、電気的特性を持
つSiC(炭化ケイ素)から製作される: 熱伝導率: 130W/m・K 電気抵抗率: 105Ω・cm 比重: 0.655J/gr・K 密度: 3.2gr/cm3 複数の120Vrmsで750Wのタングステンフィラ
メントランプが使用される。ランプの数は、測定された
73%効率(出力パワー/AC入力パワー)と80Vr
msで400Wの最高運転レベル(長いランプ寿命のた
め)に基づいて選択される。2つのヒートゾーンが使用
され、外側円上のものが一方の(外側)ゾーンを構成
し、内側円上と中心にあるものが第2(内側)ゾーンを
構成する。各ゾーンはそれ自体の温度測定装置(窓/電
極表面に接してスプリング装填されたタイプKの熱電
対)と、それ自体の出力変換器(位相角コントローラ)
とを持つ。Sylvania製のランプ72は次のように配備さ
れる:直径13.55インチの円上に等角度間隔(24
度)でランプ15個、直径6.626インチの円上に等
角度間隔(24度)でランプ15個、中心軸上にランプ
1個。
【0095】外側ランプ円は、ヒートシンク74と一体
の円筒研磨アルミニウム反射体によって外側を囲まれて
いる。
【0096】外側ソレノイドアンテナ90は4巻きで、
高さ1インチ、平均径10インチのコイルをなす外径1
/4インチのテフロンチューブで絶縁された直径3/1
6インチの水冷式銅チューブから構成され、先に引用し
た特許出願に記載されるように巻かれている。
【0097】内側ソレノイドアンテナ42は4巻きで、
高さ1インチ、平均径3.25インチのコイルをなす外
径1/4インチのテフロンチューブで絶縁された直径3
/16インチの水冷式銅チューブから構成され、先に引
用した特許出願に記載されるように巻かれている。
【0098】ヒートシンクプレート74は水冷式アルミ
ニウムプレートで、流量2ガロン/分の50/50%水
/エチレングリコール混合液を使って、閉ループ熱交換
器によって75℃に維持される。ヒートシンクはランプ
ソケットを備え、ソケットへの固有のランプ損失(約2
7%)のために必要なランプ用の冷却を提供する。ヒー
トシンクプレート74は前述の内側と外側ソレノイドア
ンテナ42、90用のフィードスルーを含む。ヒートシ
ンク74はアンテナ用の接地平面としても機能する。ヒ
ートシンクプレート74と窓/電極52とは、各伝熱リ
ング75の外径の直ぐ内側と各伝熱リング75の内径の
直ぐ外側に配備される直径0.139インチ、デュロメ
ータ硬度30の軟質O−リングを収容するためのO−リ
ング溝を含む。ヒートシンク74は同心円筒伝熱リング
75のアレイの上面に取り付けられる。全表面(ヒート
シンクの下面と伝熱リングの上面、伝熱リングの下面と
窓/電極の上面)の表面粗さは1マイクロインチより小
さい。各表面の平面度は0.0005インチより小さ
い。ヒートシンクの下面と伝熱リングの上面間の有効隙
間は0.001インチよりも小さい。伝熱リングの下面
と窓/電極の上面間の有効隙間は0.001インチより
小さい。
【0099】「取り外し可能なプラズマ閉じ込め磁石モ
ジュール」ここで図31について説明すると、ポンピン
グ環体60を保護するプラズマ閉じ込め磁石80、82
は、それぞれ、モジュール式(取り外し可能)磁石ライ
ナモジュールの中に収容することができる。かくして、
磁石ライナモジュール2010はプラズマ閉じ込め磁石
80を保持し、磁石ライナモジュール2020はプラズ
マ閉じ込め磁石82を保持する。各磁石ライナモジュー
ル2010、2020はアルミニウム等の非磁性材料で
形成することが望ましい。シリコン天井52はライナモ
ジュール2010の上に着座し、ライナモジュール20
10はチャンバ側壁又は本体50の上に着座する。RF
ガスケット2012とO−リング2014はライナモジ
ュール2010と天井52の間に押し付けられる。別の
RFガスケット2016と別のO−リング2018はラ
イナモジュール2010とチャンバ本体50の間に押し
付けられる。図32によれば、各ライナモジュール20
10、2020は磁石(例えば磁石80)が存在する開
口部また長方形凹部2030を持つ。磁石80は、磁石
80と磁石ライナモジュール間の結合層2040(例え
ば、エポキシ材料でよい)によって開口部2030の外
向きの表面に接着される。磁石80は、開口部をシール
するために磁石ライナモジュールにレーザー溶接又はE
ビーム溶接されるアルミニウムカバー2050によっ
て、開口部2030の内側に保護的にシールされる。こ
れは、カバー2050とライナモジュール間に溶接層2
060を形成する。ライナモジュール2010、202
0は、磁石80、82がプラズマとのそれらの相互作用
区域にできるだけ接近するように、ポンピング環体60
の内部壁上に置かれる。本実施態様の一利点は、磁石8
0、82が、それらのプラズマ相互作用区域から最小距
離にあるにもかかわらず、それぞれのライナモジュール
2010、2020の内側でシールされることによっ
て、プラズマから保護されることである。別の利点は、
磁石が、壁に対する熱伝導性(アルミニウム)ライナモ
ジュールの接触によって冷却本体(すなわち、チャンバ
壁)に熱的に結合されるので、磁石80、82が冷却さ
れることである。これによって、閉じ込め磁石をそれら
のキュリー温度の充分下に保ち、従って有効性を維持す
ることができる。この目的のために、冷却プレートを通
るクーラント通路74aに加えて、プラズマ閉じ込め磁
石ライナモジュール2010、2020との接触区域の
近くのチャンバ壁に、追加のクーラント通路2070を
設けてもよい。磁石ライナモジュールからチャンバ壁へ
の熱伝達を更に向上するために、各ライナモジュール2
010、2020をファスナ2080によって隣接チャ
ンバ壁に締め付けてもよい。磁石ライナモジュール20
10、2020の一特徴は、容易な清掃のための、チャ
ンバアセンブリからのそれらの容易な取り外し性であ
る。
【0100】プラズマ閉じ込め磁石ペア80、82によ
るポンプ環体60の保護に加えて、リアクタは、それぞ
れが図32に関して上述した特徴を使用する類似ペアの
プラズマ閉じ込め磁石ライナモジュール2088、20
90内に収容された別のペアのプラズマ閉じ込め磁石2
084、2086によって保護できるウェーハスリット
バルブ2082を持ってもよい。
【0101】プラズマ閉じ込め磁石のペアを使用して、
物理的バリヤ(チャンバ壁)内の隙間、例えばウェーハ
スリットバルブ、チャンバへのガスインレット、ポンピ
ング環体、チャンバの窓、又はチャンバ壁自体さえも通
るプラズマの漏れを防止できる。チャンバのガスインレ
ットを通るプラズマの漏れをプラズマ閉じ込め磁石によ
って防止できる方法の一例を、オーバーヘッド中央ガス
フィード2092に関して図31に示す。中央ガスフィ
ード2092は、ガスフィード2092を跨いで互いに
対面する少なくとも一対のプラズマ閉じ込め磁石209
6a、2096bを保持するライナモジュール2094
を備えている。その他に、ライナモジュール2094
は、それぞれがプラズマ閉じ込め磁石2096a、20
96bのペアの一方を保持する2つの独立モジュールに
分割することができる。中央ガスフィードライナモジュ
ール2094はアルミニウムでよいが、一つのオプショ
ンとして、シリコン天井52との適合性のためにライナ
モジュール2094にシリコンを使用できる。リアクタ
の各オリフィスやガスインレットは、類似のプラズマ閉
じ込め磁石ライナモジュールを持つことができる。
【0102】中央ガスフィードプラズマ閉じ込め磁石を
天井内のライナモジュールの内部に置く代わりに、ライ
ナモジュールを使用せずに、磁石を天井の上面に置くこ
とも可能である。
【0103】本明細書に記載するライナモジュールは必
ずしもチャンバのライナ(例えば、チャンバ内面を覆う
取り外し可能ピース)によるものではなく、ライナとし
ての働きなしに、単にプラズマ閉じ込め磁石用の保護ハ
ウジングとして機能するだけでもよい。
【0104】上記のプラズマ閉じ込め磁石ペアの磁気方
位は、先に引用した同時係属中の出願の一つ、すなわち
米国特許出願第08/597,577号の開示に対応する、図35
(a)〜35(e)で示したオプションのいずれかによ
るものでよい。
【0105】「天井の不均一な加熱/冷却の克服」図3
1を再び参照すると、各熱伝導リング75を介した天井
52からコールドプレート74への熱伝達は、冷却プレ
ート74と熱伝導リング75間の隙間74’を横切る熱
抵抗に依存する。この抵抗は隙間74’に大きく依存
し、それは結果的に表面の平面度、及び冷却プレート7
4に対してリング75を保持する力に依存する。熱伝導
リング75と冷却プレート74間の隙間74’のすべて
を横切る熱抵抗が少なくともほぼ等しくない限り、同心
熱伝導リング75の異なるリングからの冷却プレート7
4への熱伝達は異なるだろう。各リング75の異なる部
分が天井52の異なる部分に接触するので、異なるリン
グ75による熱伝達の不同は、天井の表面全体の熱伝達
の空間的な不均一分布を発生させる。かくして、分散し
たヒータランプ72による天井52の均一加熱を仮定す
ると、天井52全体の熱伝達の不均一分布は、天井52
全体の温度差という重要な問題をもたらすだろう。この
問題を避けることは殆ど不可能に思われる。すなわち、
直径15インチの天井52全体のまずまずの均一な温度
分布のためには、冷却プレート74とリング75間の隙
間を、冷却プレートの直径全体にわたって1mil(1
/1000インチ)の1/10〜2/10の公差内に保
つことが必要となるだろう(隙間が空気で満たされる場
合)。実際には、炭化ケイ素材料では、公差はせいぜい
2/10〜3/10で、アルミニウムの場合は5/10
以上に過ぎない。従って、冷却プレート74と熱伝導ン
グ75がどれだけ互いに締め付けられるかによって、天
井52は、その直径全体に過剰な温度差を経験すること
になる。
【0106】必要なのは、冷却プレート74を熱伝導リ
ング75から上方にヒンジ回転させると共に(電気的又
はガスやクーラントの接続又は結合を破壊する必要なし
に)均一な熱接触抵抗を提供する、冷却プレート74と
各熱伝導リング75間の境界面である。上記の迅速な取
り外し性は、天井の定期的メンテナンスや交換のために
必要である。従って、熱伝導リング75をコールドプレ
ート74に結合することによって均一な熱接触抵抗を持
つ境界面を提供する試みは、これが取り外し性を妨げる
ので実行可能な解決ではない。熱接触抵抗の均一性は、
隙間74’に軟質アルミニウム材料を使用することによ
って高められるかもしれないが、これはコールドプレー
ト74と熱伝導リング75間の過大な圧縮力を必要とす
るだろう(コールドプレート74全体における隙間7
4’の幅の大きな変動による)。接触抵抗の均一性は、
隙間74’に熱伝導性グリースを使用することによって
高められるかもしれないが、これはあまりにも扱いが厄
介であり、高い汚染レベルのリスクをプラズマプロセス
にもたらすだろう。
【0107】Grafoil 等の熱伝導性弾性変形材料を隙間
74’内の熱伝導層3010として使用すると、それ
が、コールドプレート74と熱伝導リング75間の過大
な圧縮力を必要とすることなく、天井52の直径全体に
比較的均一な熱接触抵抗を提供するので、上記の不満足
な隙間公差を補償することを我々は発見した。(Grafoi
l はP.O. Box 94364, Cleveland, Ohio 44101 のUCAR C
arbon Co., Inc. によって販売される製品)。必要な圧
縮力は、隙間74’の内部に置かれた弾性変形型熱伝導
層3010の厚さを減らすことによって削減される。層
3010は、コールドプレート74と熱伝導リング75
間の圧縮によって弾性変形する。しかしながら、層30
10の厚さは、層3010の弾性変形可能な熱伝導材料
が隙間厚さの大きな公差を補償できるようにするのに必
要な最小厚さを超えて減らすことはできない。かくし
て、厚さとスティフネス間のトレードオフが存在する。
このトレードオフを最適にするために、我々は、弾性変
形型熱伝導層3010の好ましい厚さを約0.04ない
し0.16インチ、より好ましくは約0.06ないし
0.125インチの範囲内にすべきことを発見した。
【0108】弾性変形型熱伝導層3010に関して我々
が遭遇した一つの問題は、それが誘導コイル42、90
からのRF電力を吸収して冷却プレート74への熱を分
路することである。我々はこの問題を、コイル42、9
0からのRF誘導電界を反射することによって熱伝導層
3010によるRF電力の吸収を妨げる導電層3020
を、熱伝導3010と熱伝導リング75間に置くことで
解決した。導電層3020はアルミニウムで、ほぼ1〜
10mil(ミル)のオーダーの、好ましくは約2〜3
milの間の厚さを持つことが望ましい。便利なこと
に、上記のGrafoil の供給業者は、片側にアルミニウム
を被覆した Grafoil テープを供給している。アルミニ
ウム以外の適切な材料、例えば銅、ニッケル、銀、又は
金等も反射層3020として使用できる。上記の材料は
充分な熱伝導率と、コイル42、90からの誘導RF電
界に対する高い反射率の二重の要件を満たさなければな
らない。
【0109】熱伝導層3010用の好ましい材料である
アルミニウム層付き Grafoil テープの利点は、それが
弾性変形可能で、薄く、冷却プレート74と熱伝導リン
グ75の両者から容易に分離できる熱伝導材料に対する
要件を満たすと同時に、そのアルミニウムコーティング
がRF誘導電界に対する良好な反射体を提供することで
ある。
【0110】一つの可能な代案によれば、冷却プレート
74と熱伝導リング75間に弾性変形型熱伝導層301
0を置くことに加えて、各熱伝導リング75と半導体天
井52間の隙間75’を跨ぐ熱接触抵抗は、天井52と
各熱伝導リング75間の隙間75’に類似の弾性変形可
能な熱伝導材料層を使用することによって改善できるだ
ろう。かくして、弾性変形型熱伝導層3035(Grafoi
l 等)を各熱伝導リング75と天井52間の隙間75’
に置くことができる。しかしながら、半導体天井52と
熱伝導リング75は、リング75と天井52が熱伝達の
最適化のために一体に結合されるので、リング75が天
井52からなるべく分離できないように単一モジュール
のアセンブリを構成することが望ましい。
【0111】「モジュール性と生産性の向上」モジュー
ル性(分離性)は容易なメンテナンスのために重要であ
る。冷却プレート74、ソースパワーコイル42、9
0、及びヒータランプ72を含む上部アセンブリ304
0は、熱伝導リング75と半導体天井52とを含む下部
アセンブリ3050から分離的にヒンジ回転できる。下
部アセンブリ3050自体はチャンバからヒンジ回転で
きる。上部アセンブリ3040と下部アセンブリ305
0の分離性は、流体と電気の接続を破壊することなく半
導体天井52の交換を可能にする。上記の交換は10
0,000枚のオーダーのウエーハ処理後に必要とな
る。下部アセンブリ3050の分離性(上部アセンブリ
をそれに取り付けたままで)は、流体や電気の接続を破
壊する必要なしに、拭き取りのためのチャンバ内部表面
へのアクセスと共に、取り外しと清掃のためにプラズマ
閉じ込め磁石モジュール2010、2020へのアクセ
スを可能にする。これは3,000枚ないし4,000
枚のオーダーのウエーハ処理後に必要となるだろう。
【0112】図31の図面に示されていないのがヒンジ
回転装置(冷却プレート74のヒンジ回転用と天井52
のヒンジ回転用)、及び冷却プレート74を熱伝導リン
グ75にクランプするためとシリコン天井52を磁石ラ
イナモジュール2010にクランプするためのクランプ
装置である。
【0113】「半導体リフトピンを持つ静電チャック」
本発明の別の局面によれば、静電チャックは、ウェーハ
のチャック解放時にプラズマによるウェーハの放電の必
要性を除去する特徴によって品質が高められる。従来
は、ウェーハを静電チャックから解放するために次のス
テップを実行しなければならなかった: (1)ウェーハと静電チャックとの間のHeガス真空を
解除する、(2)静電チャックの裏側を接地する、
(3)ウェーハがプラズマを介して放電するまで待った
後、ウェーハを取り外す。
【0114】この方法に伴う問題は、厚い誘電体コーテ
ィングを持つウェーハが、プラズマによるウェーハの放
電を遅らせるか、完全な放電を妨げるので、ウェーハの
取り外しに過大な力が必要なことである。あるいは、過
剰な電荷がウェーハに蓄積された場合は、ウェーハを実
用的な時間内に完全に放電することができない。
【0115】本発明は、従来の静電チャックに伴う上記
の問題を、ウェーハの取り外しやチャックからの解放を
望むときは常にウェーハの裏側に接するように持ちあげ
られる接地式半導体ピン、又はリフトピン、をチャック
内に設けることによって克服する。ウェーハは、オーム
コンタクトまたトンネリング(tunneling) あるいはウェ
ーハの裏側から半導体ピンへの表面漏洩によって放電さ
れる。図31によれば、静電チャック54は、ウェーハ
56とチャック54間の静電チャック誘電層54aを横
切って加えられる電界による静電力によってウェーハを
保持する。静電力は、図示のように、電圧源に一時的に
接続して静電チャック54を帯電させることによって発
生させることができる。静電チャック54は、チャック
54を貫通してウェーハの裏側の方向に上方に延びる一
つ以上の複数の半導体リフトピン4010の追加によっ
て品質が高められる。半導体ピン4010の反対側端部
を支えるリフトスパイダ4020をアクチュエータ40
30によって上下に動かして、半導体リフトピン401
0を希望に応じて上下に移動させる。ウェーハをチャッ
クから解放するには、半導体リフトピンが接地され、ア
クチュエータ4030は、半導体リフトピンがウェーハ
の裏側に接触するまで、リフトスパイダ4020を上方
に動かす。次にウェーハは極めて急速に放電するので、
その後、ウェーハを取り外すことができる。利点は、厚
い誘電体コーティングを持つか、大きな蓄積電荷を持つ
かにかかわらずウェーハが完全に放電されるので、チャ
ック解放時のウェーハ破壊のリスクが殆ど存在しないこ
とである。半導体リフトピン4010は炭化ケイ素であ
ることが望ましいが、例えばシリコン等の任意の適切な
半導体でもよい。炭化ケイ素材料は化学的気相堆積によ
って形成できる。多くの場合、単一の上記ピンで充分で
ある。
【0116】半導体接地、又は金属ピンに対するリフト
ピンの利点は、金属の伝導性が非常に大きいので、抵抗
器を使ってウェーハ裏面のアーク発生を避けなければな
らないことであり、そのような抵抗器を付けても、金属
ピンはその長さに沿って、アーク発生又はガス分解のた
めの、そしてそれによって生じる電流をリアクタの他の
場所へ分路するための、ポイントを提供することであ
る。更に、金属ピンの方が磨耗を受けやすい。それと対
照的に、半導体(例えば、炭化ケイ素)リフトピンは、
より高い電気抵抗率を持ち、従ってアークに対する同様
の大きなリスクを呈することがなく、より耐久性があ
る。
【0117】「静電チャック炭化ケイ素カラー」静電チ
ャック54は、その外周まわりの炭化ケイ素カラー40
50の追加によって更に品質を高めることができる。炭
化ケイ素カラー4050は化学的気相堆積によって形成
できる。炭化ケイ素カラー4050は、静電チャック5
4と加熱シリコンリング62との間にある。カラー40
50は、図示のように、静電チャック54と高さの程度
が同一であることが望ましい。しかしながら、カラー4
050は、実施態様によっては、チャック54の平面の
上に延びて、チャック54に支持されるウェーハの端部
を覆う場合がある。
【0118】半導体カラー4050は、静電チャックの
エッチングを防止し、さもなければ汚染を招いて静電チ
ャックの高価で頻繁な交換を余儀なくされるかもしれな
い。更に、カラー4050の半導体材料は、他の材料、
例えばクオーツ等よりもエッチングを受けにくい(又は
エッチングの速度が遅い)。
【0119】「加熱シリコンリングのスリット」加熱シ
リコンリング62は、図33でよく分かるように、貫通
する半径方向スリット4060を設けて品質を高めるこ
とができる。スリット4060は、シリコンリング62
のより大きな熱膨張を、破壊することなく許容する。
【0120】「方位的に均一な巻数を持つRF誘導コイ
ル」同時係属中の出願で先に開示されるように、誘導ア
ンテナを多重同平面円形巻線から形成してもよい(単一
螺旋巻線と区別して)。各巻線は隣接平面間の導体の段
部によって隣接巻線に接続される。これを図34に示す
が、積み重ね式多重平面円形巻線5010は、隣接平面
から下降する一端5020で始まり、次の隣接平面に下
降する他端の5040で終結する。上昇端と下降端50
20、5040は、多重巻線5010を形成するモノリ
シック導体5065の段部5060を画成する。スタッ
ク内の巻数は、導体5065の段部5060のために元
来不均一である。これは一部には、巻線5010の平面
に平行な方向から垂直な方向へのその鋭い方向転換によ
る、スタックからの上部巻線5010aの急激な立上り
(departure)に起因する。上記の急激な立上りは下から
上に積み重ねられた巻数の不具合をもたらし、これが不
均一性をもたらす。
【0121】
【発明の効果】本発明によれば、この不均一性は、導体
5065の下部戻り脚5070を、導体5065の段部
5060の一端5060aから他端5060bに延びる
上向きの弧状経路(例えば円形経路)に沿って走らせる
ことによって補償される。下部戻り脚5070の円形経
路の半径は、それが巻線5010の平面に殆ど平行な段
端部5060aの近くで最大インダクタンスを与え、巻
線5010の平面に殆ど垂直な他方の段端部5060b
の近くで最小インダクタンスを与えるようにする。下部
戻り脚5070のインダクタンス付与における円滑な移
行は、最小の積み重ね巻数(戻り脚5070を除く)を
持つ一端5060aから最大の積み重ね巻数を持つ他端
5060bまでの、導体5065の段部5060の長さ
に沿う移行に対応する。これが有効巻数の最適な均一性
を提供する。
【0122】本発明を、好ましい実施態様を具体的に参
照して詳細に説明したが、発明の真の精神と範囲から逸
脱することなく、その変更と修正が可能であることは言
うまでもない。
【図面の簡単な説明】
【図1】ほぼ平面状のコイルアンテナを使用する、先に
引用した同時係属中の米国特許出願で使用されたタイプ
の誘導結合プラズマリアクタの側断面図である。
【図2】圧力(水平軸、単位torr)の関数としての
プラズマ中の誘導電界浸透厚さ(skin depth)(実線、単
位cm)及び電子対中性粒子(electron-to-neutral) 弾
性衝突平均自由行程長(破線)の対数−対数目盛りグラ
フである。
【図3】(a)は、ワークピースから天井までの高さが
4インチの場合、図1のリアクタ内のワークピース中心
に対する半径方向位置の関数としてのプラズマイオン密
度のグラフで、A、Bと表示した曲線はそれぞれ外側と
内側のコイルアンテナによって作られたプラズマイオン
密度に対応する。(b)は、ワークピースから天井まで
の高さが3インチの場合、図1のリアクタ内のワークピ
ース中心に対する半径方向位置の関数としてのプラズマ
イオン密度のグラフで、A、Bと表示した曲線はそれぞ
れ外側と内側のコイルアンテナによって作られたプラズ
マイオン密度に対応する。(c)は、ワークピースから
天井までの高さが2.5インチの場合、図1のリアクタ
内のワークピース中心に対する半径方向位置の関数とし
てのプラズマイオン密度のグラフで、A、Bと表示した
曲線はそれぞれ外側と内側のコイルアンテナによって作
られたプラズマイオン密度に対応する。(d)は、ワー
クピースから天井までの高さが1.25インチの場合、
図1のリアクタ内のワークピース中心に対する半径方向
位置の関数としてのプラズマイオン密度のグラフで、
A、Bと表示した曲線はそれぞれ外側と内側のコイルア
ンテナによって作られたプラズマイオン密度に対応す
る。(e)は、ワークピースから天井までの高さが0.
8インチの場合、図1のリアクタ内のワークピース中心
に対する半径方向位置の関数としてのプラズマイオン密
度のグラフで、A、Bと表示した曲線はそれぞれ外側と
内側のコイルアンテナによって作られたプラズマイオン
密度に対応する。
【図4】(a)は、単一の三次元中央非平面状ソレノイ
ド巻線を使用したプラズマリアクタの側断面図である。
(b)は、図4(a)のリアクタの一部の拡大図であ
り、ソレノイド巻線を巻く好ましい方法を示す。
【図5】図4(a)に対応するプラズマリアクタの側断
面図であり、ドーム形天井を持つ。
【図6】図4(a)に対応するプラズマリアクタの側断
面図であり、円錐天井を持つ。
【図7】図4(d)に対応するプラズマリアクタの側断
面図であり、円錐台天井を持つ。
【図8】内側と外側の垂直ソレノイド巻線を使用したプ
ラズマリアクタの側断面図である。
【図9】外側巻線が平坦な、図8に対応するプラズマリ
アクタの側断面図である。
【図10】(a)は、中央ソレノイド巻線が複数の直立
円筒巻線から成る、図4に対応するプラズマリアクタの
側断面図である。(b)は、図10(a)の実施態様の
第1実施形態の詳細図である。(c)は、図10(a)
の実施態様の第2実施形態の詳細図である。
【図11】内側と外側の両巻線が複数の直立円筒巻線か
ら成る、図8に対応するプラズマリアクタの側断面図で
ある。
【図12】内部巻線が複数の直立円筒巻線から成ると共
に外側巻線が単一の直立円筒巻線から成る、図8に対応
するプラズマリアクタの側断面図である。
【図13】単一ソレノイド巻線が最高のプラズマイオン
密度均一性のための最適半径方向位置に置かれたプラズ
マリアクタの側断面図である。
【図14】ソレノイド巻線が逆円錐形である、図4に対
応するプラズマリアクタの側断面図である。
【図15】ソレノイド巻線が直立円錐形である、図4に
対応するプラズマリアクタの側断面図である。
【図16】ソレノイド巻線が内側直立円筒部分と外側平
坦部分とから成るプラズマリアクタの側断面図である。
【図17】ソレノイド巻線が逆円錐部分と平坦部分の両
者を含む、図13に対応するプラズマリアクタの側断面
図である。
【図18】ソレノイド巻線が直立円錐部分と平坦部分の
両者を含む、図15に対応するプラズマリアクタの側断
面図である。
【図19】平面、円錐、及びドーム形天井エレメントの
組合せを示す。
【図20】独立にバイアスされたシリコン側壁と天井を
示し、電気ヒータを使用している。
【図21】独立にバイアスされた内部と外部のシリコン
天井部分を示し、電気ヒータを使用している。
【図22】図8の熱伝導トーラスの各面に熱伝導性ガス
境界面(thermally conductive gas interface)を有する
プラズマリアクタの第1実施態様を示す切り抜き断面図
である。
【図23】半導体窓電極と一体に形成された熱伝導トー
ラスの一つの面に熱伝導性ガス境界面を有するプラズマ
リアクタの第2実施態様を示す切り抜き断面図である。
【図24】図8の熱伝導トーラスの各面に熱伝導性固体
境界面材料を有するプラズマリアクタの第3実施態様を
示す切り抜き断面図である。
【図25】半導体窓電極と一体に形成された熱伝導トー
ラスの一つの面に熱伝導性固体境界面材料を有するプラ
ズマリアクタの第4実施態様を示す切り抜き断面図であ
る。
【図26】図8の使い捨てシリコン含有リング(disposa
ble silicon-containing ring)がコールドプレートと使
い捨てシリコンリング間の熱伝導性ガス境界面を持つコ
ールドプレートによって冷却されるプラズマリアクタの
第5実施態様を示す切り抜き断面図である。
【図27】図8の使い捨てシリコン含有リングがコール
ドプレートと使い捨てシリコンリング間の熱伝導性固体
境界面材料を持つコールドプレートによって冷却される
プラズマリアクタの第6実施態様を示す切り抜き断面図
である。
【図28】チャンバ壁と内部チャンバライナが熱伝導路
を横切る境界面内で熱伝導性ガスを使って冷却されるプ
ラズマリアクタの第7実施態様を示す。
【図29】境界面がそれぞれ熱伝導性ガスの代わりに固
体熱伝導層で充満される、図28の実施態様の変形例を
示す。
【図30】リングを静電的にクランプして熱伝導性ガス
をシールする、図26の実施態様を示す。
【図31】モジュール式プラズマ閉じ込め磁石ライナを
含むプラズマリアクタの様々な局面を表すプラズマリア
クタを示す。
【図32】モジュール式プラズマ閉じ込め磁石ライナの
一部の拡大図であり、磁石がライナ内でシールされる方
式を示す。
【図33】熱膨張を許すための貫通するスリットを有す
る、図31のリアクタで使用される加熱シリコンリング
を示す。
【図34】その方位のまわりに均一な有効巻数(uniform
number of effective windings)を有する、図31のリ
アクタで使用される誘導アンテナを示す。
【図35】(a)〜(e)は、図31のリアクタで使用
される一対のプラズマ閉じ込め磁石のための様々な磁気
方位を示す。
【符号の説明】
40…円筒チャンバ、42…非平面状コイルアンテナ、
44,44a,44b,104a,104b…巻線、4
6…アンテナ対称軸(チャンバ中心軸)、50…円筒側
壁、50a…下端、52…円形天井、54…ペデスタ
ル、56…ワークピース、58…環状通路、60…ポン
ピング環体、62…平面リング、62a…孔、64…シ
リコンリング、64a…中央ガスフィード、65b…半
径方向ガスフィード、65d…天井軸方向ガスフィー
ド、66…ハウジング、68…プラズマソースRF電
源、72…放射ヒータ、72’…電気加熱エレメント、
74…水冷式コールドプレート、74a…クーラント通
路、75…セラミックトーラス、76…熱電対、77…
ヒータ、78…クオーツ窓、79…温度センサ、84…
ウェーハスリットバルブ、90,92…誘導アンテナ、
106…内側二重巻きソレノイド、110…外側二重巻
きソレノイド、112…外側ソレノイド、120…平面
状螺旋巻線、200…外側環体、210,212…RF
ソース、214,216…RF電源、222…コントロ
ーラ、224…ヒータ電源、300…中央コントロー
ラ、1000,1150…マニホールド、1030…上
部境界面、1040…軸方向通路、1050…下部境界
面、1070,1070’,1080,1260,20
18…O−リング、1085…上部層、1090…固体
境界面材料層、1100,1110…コールドプレー
ト、1130,1240…境界面、1130a…隙間、
1140…熱伝導固体材料層、1160…熱伝導性ガス
ソース、1210…外部コールドプレート、1220…
内部クーラントジャケット、1245…マニホールド、
1300…内部チャンバライナ、1370,1380…
固体材料層、1410…絶縁層、1430…クランプ用
スイッチ、1150…通路、2010,2020…ライ
ナモジュール、2030…開口部、2012…RFガス
ケット、2040…結合層、2050…アルミニウムカ
バー、2060…溶接層、2070…クーラント通路、
2082…ウェーハスリットバルブ、2084,208
6…プラズマ閉じ込め磁石、2088,2090…プラ
ズマ閉じ込め磁石ライナモジュール、2092…オーバ
ーヘッド中央ガスフィード、2094…ライナモジュー
ル、2096a,2096b…プラズマ閉じ込め磁石、
4010…半導体ピン、4020…リフトスパイダ、4
030…アクチュエータ、4050…炭化ケイ素カラ
ー、4060…スリット、5010…積み重ね式多重平
面円形巻線、5020…上昇端、5030…下降端、5
040…他端、5060…段部、5060a…一端、5
060b…他端、5065…モノリシック導体、507
0…戻り脚。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 マイケル ライス アメリカ合衆国, カリフォルニア州, プレザントン, クラレット コート 675 (72)発明者 ダグラス ブクバーガー アメリカ合衆国, カリフォルニア州, トレーシー, チャーニー レーン 421 (72)発明者 クレイグ ロデリック アメリカ合衆国, カリフォルニア州, サン ノゼ, パインヴュー ドライヴ 776 (72)発明者 エリック アスカリナム アメリカ合衆国, カリフォルニア州, サニーヴェイル, ポーリン ドライヴ 1332 (72)発明者 ケーハード シュナイダー アメリカ合衆国, カリフォルニア州, クパティノ, アリシア コート 10425 (72)発明者 ジヨン トロー アメリカ合衆国, カリフォルニア州, サン ノゼ, ナイツヘイヴン ウェイ 162 (72)発明者 ジョシュア ツイ アメリカ合衆国, カリフォルニア州, サンタ クララ, アゼヴェド コート 613 (72)発明者 デニス グリマード アメリカ合衆国, ミシガン州, アン アーバー, リバテイー ポイント 511 (72)発明者 ゲラルド イン アメリカ合衆国, カリフォルニア州, クパティノ, ビリチ プレイス 10132 (72)発明者 ロバート ウ アメリカ合衆国, カリフォルニア州, プレザントン, パセオ グラナダ 3112

Claims (44)

    【特許請求の範囲】
  1. 【請求項1】 プラズマリアクタであって、 プラズマを収容するチャンバと、 前記チャンバに連通する通路と、及び前記通路に隣接し
    て置かれた第1の取り外し可能プラズマ閉じ込め磁石モ
    ジュールと、 を備え、前記第1の磁石モジュールは、 第1モジュールハウジング、及び前記ハウジング内に第
    1プラズマ閉じ込め磁石を備えることを特徴とするプラ
    ズマリアクタ。
  2. 【請求項2】 更に、前記通路に隣接して置かれた第2
    の取り外し可能プラズマ閉じ込め磁石モジュールを備
    え、 前記第2の磁石モジュールは、 第2モジュールハウジング、及び第2プラズマ閉じ込め
    磁石を備えることを特徴とする請求項1に記載のプラズ
    マリアクタ。
  3. 【請求項3】 前記第1及び第2の磁石モジュールは、
    前記通路の対向両側に配置されることを特徴とする請求
    項2に記載のプラズマリアクタ。
  4. 【請求項4】 前記第1及び第2のプラズマ閉じ込め磁
    石は、前記通路を通るプラズマ輸送又は漏洩の防止に役
    立つ磁気方位を有することを特徴とする請求項3に記載
    のプラズマリアクタ。
  5. 【請求項5】 前記第1モジュールハウジングは、比較
    的非磁性の熱伝導体を含むことを特徴とする請求項1に
    記載のプラズマリアクタ。
  6. 【請求項6】 前記第1の磁石モジュールは、アルミニ
    ウムを含むことを特徴とする請求項5に記載のプラズマ
    リアクタ。
  7. 【請求項7】 前記チャンバはチャンバ本体を備え、前
    記第1モジュールハウジングは前記チャンバ本体と熱的
    に接触していることを特徴とする請求項5に記載のプラ
    ズマリアクタ。
  8. 【請求項8】 更に、前記チャンバ本体に熱的に結合さ
    れた冷却装置を備え、これにより、前記第1プラズマ閉
    じ込め磁石をそのキュリー温度以下に保つことを特徴と
    する請求項7に記載のプラズマリアクタ。
  9. 【請求項9】 前記リアクタは更に、前記チャンバの外
    周に隣接するポンピング環体を備え、前記通路は、前記
    チャンバと前記ポンピング環体間を連通する通路を備え
    ることを特徴とする請求項1に記載のプラズマリアク
    タ。
  10. 【請求項10】 前記通路は、ウェーハスリットバルブ
    を備えることを特徴とする請求項1に記載のプラズマリ
    アクタ。
  11. 【請求項11】 前記通路は、ガスフィードインレット
    を備えることを特徴とする請求項1に記載のプラズマリ
    アクタ。
  12. 【請求項12】 前記ガスフィードインレットは、前記
    チャンバの天井を通る中央ガスフィードを備えることを
    特徴とする請求項11に記載のプラズマリアクタ。
  13. 【請求項13】 前記リアクタは更に、チャンバ側壁と
    チャンバ天井とを備え、前記第1モジュールハウジング
    は前記側壁の上に着座し、前記天井は前記第1モジュー
    ルハウジングの上に着座することを特徴とする請求項1
    に記載のプラズマリアクタ。
  14. 【請求項14】 前記モジュールハウジングは開口部を
    備え、前記第1プラズマ閉じ込め磁石は前記開口部内に
    配置され、前記モジュールハウジングは更に、前記第1
    プラズマ閉じ込め磁石を前記開口部の内部内に取り付け
    る結合層、及び前記開口部を覆って前記第1プラズマ閉
    じ込め磁石を前記第1モジュールハウジング内にシール
    するためのカバープレートを備えることを特徴とする請
    求項5に記載のプラズマリアクタ。
  15. 【請求項15】 更に、前記カバープレートと前記第1
    モジュールハウジングとの間に溶接結合部を備えること
    を特徴とする請求項14に記載のプラズマリアクタ。
  16. 【請求項16】 前記溶接結合部は、(a)Eビーム溶
    接と(b)レーザー溶接ののうちの一方から作られるこ
    とを特徴とする請求項15に記載のプラズマリアクタ。
  17. 【請求項17】 プラズマリアクタであって、 天井を含むリアクタチャンバエンクロージャと、 前記天井の上面に着座する複数の冷却リングと、 前記複数の冷却リングの上に横たわるコールドプレート
    と、及び前記複数の冷却リングの各一つと前記コールド
    プレートとの間の弾性変形可能な熱伝導層とを備えるこ
    とを特徴とするプラズマリアクタ。
  18. 【請求項18】 前記弾性変形可能な熱伝導層は、グラ
    フォイルを含むことを特徴とする請求項17に記載のプ
    ラズマリアクタ。
  19. 【請求項19】 更に、前記弾性変形可能な熱伝導層と
    各冷却リングとの間にRF反射体コーティングを備える
    ことを特徴とする請求項17に記載のプラズマリアク
    タ。
  20. 【請求項20】 前記RF反射体コーティングは、熱伝
    導性であることを特徴とする請求項19に記載のプラズ
    マリアクタ。
  21. 【請求項21】 前記RF反射体コーティングは、導電
    性であることを特徴とする請求項20に記載のプラズマ
    リアクタ。
  22. 【請求項22】 前記RF反射体コーティングは、アル
    ミニウムを含むことを特徴とする請求項21に記載のプ
    ラズマリアクタ。
  23. 【請求項23】 更に、RF電力を前記天井を介して前
    記チャンバに結合可能なRF電力アプリケータを前記コ
    ールドプレートと前記天井との間に備え、これにより、
    前記反射体コーティングは、RF電力を反射して前記弾
    性変形可能な熱伝導層によるその吸収を防止することを
    特徴とする請求項19に記載のプラズマリアクタ。
  24. 【請求項24】 プラズマリアクタであって、 リアクタチャンバと、 前記チャンバ内でワークピースを支持するための静電チ
    ャックと、及び前記チャックが前記ワークピースを支持
    できる平面の方向に前記静電チャックを貫通して延びる
    少なくとも一つの半導体接地ピンであって、前記ワーク
    ピースと接触できる伸張位置と引込位置の間で移動可能
    なピンとを備えることを特徴とするプラズマリアクタ。
  25. 【請求項25】 更に、接地電位に接続可能な、前記ピ
    ンを支持するフレームを備えることを特徴とする請求項
    24に記載のプラズマリアクタ。
  26. 【請求項26】 更に、前記フレームを動かすことによ
    って前記ピンを前記伸張位置と引込位置の間に移動さる
    ためのアクチュエータを備えることを特徴とする請求項
    25に記載のプラズマリアクタ。
  27. 【請求項27】 前記ピンはシリコンを含むことを特徴
    とする請求項24に記載のプラズマリアクタ。
  28. 【請求項28】 前記ピンは炭化ケイ素を含むことを特
    徴とする請求項24に記載のプラズマリアクタ。
  29. 【請求項29】 更に、前記ワークピースを前記チャッ
    クから絶縁するための、前記静電チャックの上に横たわ
    る誘導体層を備えることを特徴とする請求項24に記載
    のプラズマリアクタ。
  30. 【請求項30】 前記静電チャックは接地電位と電圧源
    とに交互に接続可能で、前記半導体ピンが接地電位に接
    続されることを特徴とする請求項29に記載のプラズマ
    リアクタ。
  31. 【請求項31】 更に、前記静電チャックの外周の周り
    に半導体カラーを備えることを特徴とする請求項24に
    記載のプラズマリアクタ。
  32. 【請求項32】 前記半導体カラーは炭化ケイ素を含む
    ことを特徴とする請求項31に記載のプラズマリアク
    タ。
  33. 【請求項33】 更に、前記静電チャックを囲む、加熱
    される半導体リングを備えることを特徴とする請求項3
    1に記載のプラズマリアクタ。
  34. 【請求項34】 プラズマリアクタであって、 チャンバと、 前記チャンバ内でワークピースを支持するためのワーク
    ピースチャックと、 RF電力アプリケータ兼プロセスガスを前記チャンバに
    入れるためのガスインレット装置と、 前記ワークピースを囲む半導体リング兼前記半導体リン
    グを加熱するための加熱装置と、及び前記半導体リング
    の熱膨張を許すための前記半導体リング内のスリットと
    を備えることを特徴とするプラズマリアクタ。
  35. 【請求項35】 前記半導体リングは、(a)炭化ケイ
    素と(b)シリコンのうちの一方を含むことを特徴とす
    る請求項34に記載のプラズマリアクタ。
  36. 【請求項36】 前記加熱装置は、前記半導体リングの
    下にある複数の放射ヒートランプを備えることを特徴と
    する請求項34に記載のプラズマリアクタ。
  37. 【請求項37】 プラズマリアクタであって、 チャンバと、 前記チャンバ内でワークピースを支持するための静電チ
    ャックと、 RF電力アプリケータ兼プロセスガスを前記チャンバに
    入れるためのガスインレット装置と、及び化学的気相堆
    積によって形成された、前記静電チャックの周縁部の半
    導体カラーとを備えることを特徴とするプラズマリアク
    タ。
  38. 【請求項38】 前記半導体カラーは炭化ケイ素を含む
    ことを特徴とする請求項37に記載のプラズマリアク
    タ。
  39. 【請求項39】 プラズマリアクタであって、 チャンバと、 前記チャンバ内でワークピースを支持するための静電チ
    ャックと、 RF電力アプリケータ兼プロセスガスインレット装置
    と、及び前記静電チャックの周縁部の炭化ケイ素カラー
    とを備えることを特徴とするプラズマリアクタ。
  40. 【請求項40】 更に、前記静電チャックと前記炭化ケ
    イ素カラーとを囲む半導体リングを備えることを特徴と
    する請求項39に記載のプラズマリアクタ。
  41. 【請求項41】 プラズマリアクタであって、 天井を含むチャンバと、 前記チャンバ内でワークピースを支持するためのペデス
    タルと、 プロセスガスインレット装置と、及び複数の積み重ね式
    コイル巻線を有する誘導アンテナとを備え、 前記コイル巻線の各々は、概ね複数の平行平面のそれぞ
    れの平面内にあり、隣接する平面内の巻線は各巻線が一
    平面から次の平面に移行するすべての前記平面に共通す
    る段部で接続され、前記コイル巻線は一対の接続セクシ
    ョンを有する単一導体から形成され、前記接続セクショ
    ンの第1セクションは前記巻線の最上部巻線から延びる
    と共に前記端部の第2セクションは前記巻線の最下部巻
    線から延び、前記第1接続セクションは前記最上部巻線
    から離れて上方に延び、前記第2接続セクションは前記
    最下部巻線から前記最上部巻線まで上方に弧状経路を辿
    ることによって前記段部に沿ってほぼ均一な有効積み重
    ね巻数を提供することを特徴とするプラズマリアクタ。
  42. 【請求項42】 前記弧状経路は、前記第2接続セクシ
    ョンが前記最上部巻線の近くで前記平行平面に殆ど平行
    になると共に前記最上部巻線の近くで前記平行平面に殆
    ど垂直になることを特徴とする請求項41に記載のプラ
    ズマリアクタ。
  43. 【請求項43】 プラズマリアクタであって、 天井を含むチャンバと、 前記チャンバ内でワークピースを支持するためのペデス
    タルと、 プロセスガスインレット装置と、及び複数の積み重ね式
    コイル巻線を有する誘導アンテナとを備え、 前記コイル巻線の各々は、概ね複数の平行平面のそれぞ
    れの平面内にあり、隣接する平面内の巻線は各巻線が一
    平面から次の平面に移行するすべての前記平面に共通す
    る段部において接続され、前記アンテナは更に、前記段
    部の一方の方位端から前記段部の他方の方位端まで前記
    巻線の最上部巻線の平面の方向に上方に延びる、前記巻
    線の最上部巻線に連結された導体を備えることを特徴と
    するプラズマリアクタ。
  44. 【請求項44】 前記上方に延びる導体は、上方に湾曲
    する弧状経路を辿るように成したことを特徴とする請求
    項43に記載のプラズマリアクタ。
JP23223698A 1997-07-15 1998-07-14 プラズマリアクタ Expired - Fee Related JP4299896B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/893393 1997-07-15
US08/893,393 US6074512A (en) 1991-06-27 1997-07-15 Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners

Publications (2)

Publication Number Publication Date
JPH11154600A true JPH11154600A (ja) 1999-06-08
JP4299896B2 JP4299896B2 (ja) 2009-07-22

Family

ID=25401496

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23223698A Expired - Fee Related JP4299896B2 (ja) 1997-07-15 1998-07-14 プラズマリアクタ

Country Status (5)

Country Link
US (2) US6074512A (ja)
EP (1) EP0892422A3 (ja)
JP (1) JP4299896B2 (ja)
KR (1) KR100369720B1 (ja)
TW (1) TW399396B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085404A (ja) * 1999-09-13 2001-03-30 Hitachi Ltd 半導体処理装置
JP2003518734A (ja) * 1999-11-15 2003-06-10 ラム リサーチ コーポレーション 動的ガス分配制御を行うプラズマ処理システム
JP2003529931A (ja) * 2000-03-31 2003-10-07 ラム リサーチ コーポレーション ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法
JP2004509429A (ja) * 2000-07-06 2004-03-25 アプライド マテリアルズ インコーポレイテッド 対称的な並列導体のコイルアンテナを有するプラズマリアクタ
WO2010001938A1 (ja) * 2008-07-04 2010-01-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法および誘電体窓の温度調節機構
WO2010001890A1 (ja) * 2008-07-04 2010-01-07 東京エレクトロン株式会社 温度調節機構および温度調節機構を用いた半導体製造装置
WO2013055056A1 (ko) * 2011-10-13 2013-04-18 한국과학기술원 플라즈마 장치 및 기판 처리 장치
US9281176B2 (en) 2012-06-29 2016-03-08 Taewon Lighting Co., Ltd. Microwave plasma lamp with rotating field
US9960011B2 (en) 2011-08-01 2018-05-01 Plasmart Inc. Plasma generation apparatus and plasma generation method

Families Citing this family (180)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
JP2000164565A (ja) * 1998-11-26 2000-06-16 Sony Corp 半導体製造装置
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6562189B1 (en) * 2000-05-19 2003-05-13 Applied Materials Inc. Plasma reactor with a tri-magnet plasma confinement apparatus
TW557532B (en) * 2000-07-25 2003-10-11 Applied Materials Inc Heated substrate support assembly and method
FR2814079B1 (fr) * 2000-09-15 2005-05-13 Absys Systeme de sterilisation par plasma
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20030084999A1 (en) * 2001-11-05 2003-05-08 Tokyo Electron Limited Apparatus and method for mitigating chamber resonances in plasma processing
US20030192646A1 (en) * 2002-04-12 2003-10-16 Applied Materials, Inc. Plasma processing chamber having magnetic assembly and method
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
DE20210328U1 (de) * 2002-07-04 2002-09-12 Eltro Gmbh Plasmareaktor
JP4302630B2 (ja) * 2002-07-26 2009-07-29 プラズマート カンパニー リミテッド 誘導結合型プラズマ発生装置
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7059268B2 (en) * 2002-12-20 2006-06-13 Tokyo Electron Limited Method, apparatus and magnet assembly for enhancing and localizing a capacitively coupled plasma
JP3969324B2 (ja) * 2003-02-27 2007-09-05 富士ゼロックス株式会社 カーボンナノチューブの製造装置
US7126808B2 (en) * 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US8236105B2 (en) 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7652223B2 (en) * 2005-06-13 2010-01-26 Applied Materials, Inc. Electron beam welding of sputtering target tiles
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
KR100798043B1 (ko) * 2005-12-16 2008-02-04 주식회사 래디언테크 플라즈마 처리 방법 및 장치
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
WO2007130811A2 (en) * 2006-05-05 2007-11-15 3M Innovative Properties Company Tubular terminal for a cable
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US20080000768A1 (en) * 2006-06-30 2008-01-03 Stimson Bradley O Electrically Coupled Target Panels
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR101383247B1 (ko) * 2007-09-01 2014-04-08 최대규 향상된 유도 결합 플라즈마 소스를 이용한 박막 식각 방법
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9953849B2 (en) 2008-06-20 2018-04-24 Varian Semiconductor Equipment Associates, Inc. Platen for reducing particle contamination on a substrate and a method thereof
US8681472B2 (en) * 2008-06-20 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Platen ground pin for connecting substrate to ground
ES2359054B1 (es) * 2008-11-17 2012-04-02 CONSEJO SUPERIOR DE INVESTIGACIONES CIENT�?FICAS (CSIC) (Titular al 67%) Reactor de plasma.
CN102460650B (zh) * 2009-06-24 2014-10-01 佳能安内华股份有限公司 真空加热/冷却装置及磁阻元件的制造方法
US20100326602A1 (en) * 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
SG11201402553UA (en) * 2011-11-23 2014-09-26 Lam Res Corp Dual zone temperature control of upper electrodes
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9950387B2 (en) 2012-10-18 2018-04-24 Hypertherm, Inc. Plasma torch power circuit and cooling system
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN105655220B (zh) * 2014-11-12 2018-01-02 中微半导体设备(上海)有限公司 电感耦合型等离子体处理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102020124540B4 (de) 2020-09-21 2022-09-29 VON ARDENNE Asset GmbH & Co. KG Temperiervorrichtung und Vakuumanordnung
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB231197A (en) 1924-03-24 1925-08-06 Peter August Nordling Improvement in hooks and the like
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4498458A (en) * 1981-09-23 1985-02-12 Soper Louis H Solar heating panel
EP0082015A1 (en) * 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
CA1247757A (en) * 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
FR2583250B1 (fr) * 1985-06-07 1989-06-30 France Etat Procede et dispositif d'excitation d'un plasma par micro-ondes a la resonance cyclotronique electronique
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
GB8622820D0 (en) * 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
DE58904540D1 (de) * 1988-03-24 1993-07-08 Siemens Ag Verfahren und vorrichtung zum herstellen von aus amorphen silizium-germanium-legierungen bestehenden halbleiterschichten nach der glimmentladungstechnik, insbesondere fuer solarzellen.
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
DE3942964A1 (de) 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
WO1991010341A1 (en) 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
JPH06103683B2 (ja) * 1990-08-07 1994-12-14 株式会社東芝 静電吸着方法
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
FI915231A (fi) 1990-11-08 1992-05-09 Lonza Ag Mikrobiologiskt foerfarande foer framstaellning av hydroxylerade pyrazinderivat.
JP2794936B2 (ja) * 1990-11-20 1998-09-10 富士電機株式会社 プラズマ処理装置
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
ATE173405T1 (de) * 1991-07-10 1998-12-15 Takeda Chemical Industries Ltd Arzneimittel auf basis von hyaluronsäure
KR100297358B1 (ko) * 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
JP2621728B2 (ja) * 1992-02-21 1997-06-18 株式会社日立製作所 スパッタリング方法及びその装置
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JPH06196421A (ja) * 1992-12-23 1994-07-15 Sumitomo Metal Ind Ltd プラズマ装置
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5518547A (en) * 1993-12-23 1996-05-21 International Business Machines Corporation Method and apparatus for reducing particulates in a plasma tool through steady state flows
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
JPH07230897A (ja) * 1994-02-15 1995-08-29 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置
JPH07297176A (ja) * 1994-04-25 1995-11-10 Kokusai Electric Co Ltd プラズマ処理方法及びその装置
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3192351B2 (ja) * 1995-06-16 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085404A (ja) * 1999-09-13 2001-03-30 Hitachi Ltd 半導体処理装置
JP2003518734A (ja) * 1999-11-15 2003-06-10 ラム リサーチ コーポレーション 動的ガス分配制御を行うプラズマ処理システム
JP4907827B2 (ja) * 2000-03-31 2012-04-04 ラム リサーチ コーポレーション ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法
JP2003529931A (ja) * 2000-03-31 2003-10-07 ラム リサーチ コーポレーション ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法
JP2004509429A (ja) * 2000-07-06 2004-03-25 アプライド マテリアルズ インコーポレイテッド 対称的な並列導体のコイルアンテナを有するプラズマリアクタ
KR101170006B1 (ko) * 2008-07-04 2012-07-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 유전체창의 온도 조절 기구
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
WO2010001890A1 (ja) * 2008-07-04 2010-01-07 東京エレクトロン株式会社 温度調節機構および温度調節機構を用いた半導体製造装置
WO2010001938A1 (ja) * 2008-07-04 2010-01-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法および誘電体窓の温度調節機構
KR101170005B1 (ko) * 2008-07-04 2012-07-31 도쿄엘렉트론가부시키가이샤 온도 조절 기구 및 온도 조절 기구를 이용한 반도체 제조 장치
JP5444218B2 (ja) * 2008-07-04 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置および誘電体窓の温度調節機構
US8968512B2 (en) 2008-07-04 2015-03-03 Tokyo Electron Limited Temperature adjusting mechanism and semiconductor manufacturing apparatus using temperature adjusting mechanism
US9960011B2 (en) 2011-08-01 2018-05-01 Plasmart Inc. Plasma generation apparatus and plasma generation method
WO2013055056A1 (ko) * 2011-10-13 2013-04-18 한국과학기술원 플라즈마 장치 및 기판 처리 장치
US9734990B2 (en) 2011-10-13 2017-08-15 Korea Advanced Institute Of Science And Technology Plasma apparatus and substrate-processing apparatus
US9281176B2 (en) 2012-06-29 2016-03-08 Taewon Lighting Co., Ltd. Microwave plasma lamp with rotating field

Also Published As

Publication number Publication date
KR100369720B1 (ko) 2003-03-17
KR19990013713A (ko) 1999-02-25
US6454898B1 (en) 2002-09-24
EP0892422A2 (en) 1999-01-20
JP4299896B2 (ja) 2009-07-22
TW399396B (en) 2000-07-21
US6074512A (en) 2000-06-13
EP0892422A3 (en) 1999-04-14

Similar Documents

Publication Publication Date Title
JP4299896B2 (ja) プラズマリアクタ
US6095083A (en) Vacuum processing chamber having multi-mode access
US6365063B2 (en) Plasma reactor having a dual mode RF power application
EP0759632B1 (en) Plasma processing apparatus
US20200115786A1 (en) Substrate processing apparatus and susceptor
TWI404163B (zh) 用於改良式半導體處理均勻性之熱傳導系統、用於處理一基板之電漿處理系統以及在一處理腔室中處理一基板之方法
KR101582785B1 (ko) 정전 척 조립체
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
US20030010292A1 (en) Electrostatic chuck with dielectric coating
CN1779938A (zh) 控制衬底温度的方法和装置
US6572814B2 (en) Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
KR102089949B1 (ko) 기판 처리 장치 및 기판 처리 장치의 부품
WO2003009370A2 (en) Substrate support pedestal
JPH11135296A (ja) マルチモードアクセスを有する真空処理チャンバ
KR20190095075A (ko) 플라스마 처리 장치
JPH10223621A (ja) 真空処理装置
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050601

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080603

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080903

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080916

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090324

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090420

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120424

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120424

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120424

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130424

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130424

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140424

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees