JP2003518734A - 動的ガス分配制御を行うプラズマ処理システム - Google Patents

動的ガス分配制御を行うプラズマ処理システム

Info

Publication number
JP2003518734A
JP2003518734A JP2001537773A JP2001537773A JP2003518734A JP 2003518734 A JP2003518734 A JP 2003518734A JP 2001537773 A JP2001537773 A JP 2001537773A JP 2001537773 A JP2001537773 A JP 2001537773A JP 2003518734 A JP2003518734 A JP 2003518734A
Authority
JP
Japan
Prior art keywords
plasma processing
gas
processing chamber
region
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001537773A
Other languages
English (en)
Other versions
JP2003518734A5 (ja
Inventor
ベイリー・アンドリュー・ディ.,スリー
シェップ・アラン・エム.
ヘンカー・デイビッド・ジェイ.
ウィルコックスソン・マーク・エイチ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2003518734A publication Critical patent/JP2003518734A/ja
Publication of JP2003518734A5 publication Critical patent/JP2003518734A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

(57)【要約】 【課題】 【解決手段】 エッチング処理の制御を向上させるプラズマ処理チャンバを備えるプラズマ処理システムが開示されている。プラズマ処理チャンバは、ガスフローシステムに接続されている。ガスフローシステムは、プラズマ処理チャンバ内の様々な領域へのガスの放出を制御するために用いることができる。さらに、放出されるガスの量は、ガスフロー制御機構によって調節することができる。このように、プラズマ処理チャンバに供給されるガスの位置と量とを制御することができるので、中性成分の分布をよりよく制御できるようになる。これにより、エッチング処理の制御が向上する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】
本発明は、半導体集積回路の製造に関し、特に、エッチング処理を向上させる
ための改良プラズマ処理システムに関する。
【0002】
【従来の技術】
半導体ベースの素子(例えば、集積回路やフラットパネルディスプレイ)の製
造では、材料の層が交互に基板表面上に蒸着され、基板表面にエッチングが施さ
れる。製造工程の間に、様々な材料の層(例えば、ボロフォスケイ酸ガラス(B
PSG)、ポリシリコン、金属など)が、基板上に蒸着される。蒸着された層は
、周知の技術(例えば、フォトレジスト処理)でパターニングすることができる
。次いで、蒸着された層の一部をエッチング除去し、様々な形状(例えば、連絡
配線、ビア、トレンチなど)を形成することができる。
【0003】 エッチング処理は、プラズマエッチングを含む様々な周知の技術によって実行
することができる。プラズマエッチングでは、実際のエッチングは通例、プラズ
マ処理チャンバ内で行われる。基板ウエハの表面に所望のパターンを形成するた
めに、通例は、適切なマスク(例えば、フォトレジストマスク)が施される。基
板ウエハがプラズマ処理チャンバに入れられると、次いで、適切な(1または複
数の)エッチャントソースガスからプラズマが形成される。プラズマは、マスク
によって保護されていない領域をエッチングするために用いられ、それによって
、所望のパターンが形成される。このように、蒸着された層の一部がエッチング
除去され、連絡配線、ビア、トレンチ、およびその他の形状が形成される。蒸着
およびエッチング処理は、所望の回路が得られるまで繰り返してもよい。
【0004】 議論を容易にするために、図1は、半導体ベースの素子の製造に適するプラズ
マ処理装置100を簡単に示している。簡略化したプラズマ処理装置100は、
静電チャック(ESC)104を有するプラズマ処理チャンバ102を備えてい
る。チャック104は、電極として機能し、製造中にウエハ106(すなわち、
基板)を支持する。ウエハ106の表面は、ウエハ処理チャンバ102に放出さ
れた適切なエッチャントソースガスによってエッチングされる。エッチャントソ
ースガスは、シャワーヘッド108を通じて放出可能である。プラズマ処理ソー
スガスは、ガス分配プレートの穴を通すなど、他の機構によって放出されてもよ
い。真空プレート110は、ウエハ処理チャンバ102の壁112と密封するた
めの接触を維持している。真空プレート110に設けられたコイル114は、高
周波(RF)電源(図示せず)に接続されており、シャワーヘッド108を通じ
て放出されたプラズマ処理ソースガスからプラズマを発生させる(点火する)た
めに用いられる。チャック104にも、通例エッチング処理中にはRF電源(図
示せず)を用いてRF電力が供給される。さらに、ダクト118を通じてプラズ
マ処理チャンバ102から、処理ガスと副生成ガスとを排出するために、ポンプ
116が備えられている。
【0005】 当業者に周知の通り、エッチング処理の際には、精度の高いエッチングを維持
するために、プラズマ処理チャンバ内の数多くのパラメータが厳密に制御される
。ガスの組成、プラズマの励起、チャンバの状態は、エッチングの結果に影響す
る処理パラメータである。エッチングの精度(およびその結果としての半導体ベ
ースの素子の性能)は、そのようなパラメータに非常に敏感であるため、正確な
制御が必要となる。より詳細には、所望のエッチング特性(例えば、選択性、エ
ッチングの均一性、エッチング速度、エッチングプロフィルなど)を得るために
は、エッチング処理を厳密に制御する必要がある。さらに、現代の集積回路では
、エッチング処理は、従来以上に重要性を増している。例えば、現代の集積回路
の形状はサイズが縮小されているため、従来の方法での従来のプラズマ処理シス
テムを用いて所望の形状をエッチングすることが、ますます困難になっている。
そのため、現代の集積回路を製造するために、エッチング処理をさらに厳密に制
御することが求められている。
【0006】 以上の点から、エッチング処理を通じてより優れた制御を行う改良プラズマ処
理システムが必要である。
【0007】
【発明の概要】
概して、本発明は、プラズマ処理システムでのエッチング処理のための改良技
術に関する。一態様によると、本発明は、プラズマ処理チャンバを備えるプラズ
マ処理システムによるエッチング処理の制御を向上させるために用いることがで
きる。プラズマ処理チャンバは、ガスフローシステムに接続されている。ガスフ
ローシステムは、プラズマ処理チャンバ内の複数の異なる位置へのガスの放出を
制御するために用いることができる。さらに、ガスフローシステムは、プラズマ
処理チャンバへ放出されるガスの量、体積、または相対流量の制御を可能とする
【0008】 本発明は、システム、装置、機械、または方法を含む様々な方法で実現するこ
とができる。以下では、本発明の実施形態をいくつか説明する。
【0009】 プラズマ処理システムとして、本発明の一実施形態は、基板の処理に用いられ
るプラズマ処理チャンバと、プラズマ処理チャンバに接続されたガスフローシス
テムとを備えている。ガスフローシステムは、プラズマ処理チャンバの少なくと
も2つの異なる領域への流入ガスの流量を制御する。例えば、少なくとも2つの
異なる領域は、最上部中央領域、上側周辺領域、および下側周辺領域から選択で
きる。
【0010】 プラズマ処理システムとして、本発明の別の実施形態は、別個のプラズマ生成
チャンバを有せず、上端と下端とを有し、前記処理のために内部でプラズマが点
火および維持される実質的に方位角上対称の円筒型のプラズマ処理チャンバと、
前記プラズマ処理チャンバの上端に配置された結合窓と、前記処理のために前記
基板が前記プラズマ処理チャンバに配置されるときに、前記基板によって規定さ
れる平面よりも上側に配置されたRFアンテナ構成と、少なくとも1つの直流電
流が供給されると、前記RFアンテナ近傍の領域の前記プラズマ処理チャンバ内
における静磁場トポロジーが放射状に変動し、前記変動が前記基板全体の処理の
均一性に影響するように構成され、前記基板によって規定される前記平面よりも
上側に配置された電磁石構成と、前記少なくとも1つの直流電流の大きさを変化
させるための制御部を有し、これにより、前記アンテナ近傍の領域の前記プラズ
マ処理チャンバ内における前記磁場トポロジーの前記放射状の変動を変化させて
前記基板全体の処理の均一性を改善するように構成され、前記電磁石構成に接続
された直流電源と、前記プラズマ処理チャンバの少なくとも2つの異なる領域へ
の流入ガスの流れを制御するように構成され、前記プラズマ処理チャンバに接続
されたガスフローシステムとを備える。
【0011】 基板を処理するためのプラズマ処理システムとして、本発明の一実施形態は、 別個のプラズマ生成チャンバを有せず、上端と下端とを有し、前記処理のため
に内部でプラズマが点火および維持される実質的に方位角上対称のプラズマ処理
チャンバと、前記プラズマ処理チャンバの上端に配置された結合窓と、前記処理
のために前記基板が前記プラズマ処理チャンバに配置されるときに、前記基板に
よって規定される平面よりも上側に配置されたRFアンテナ構成と、少なくとも
1つの直流電流が供給されると、前記RFアンテナ近傍の領域の前記プラズマ処
理チャンバ内における静磁場トポロジーが放射状に変動し、前記変動が前記基板
全体の処理の均一性に影響するように構成され、前記基板によって規定される前
記平面よりも上側に配置された電磁石構成と、前記少なくとも1つの直流電流の
大きさを変化させるための制御部を有し、これにより、前記アンテナ近傍の領域
の前記プラズマ処理チャンバ内における前記磁場トポロジーの前記放射状の変動
を変化させて前記基板全体の処理の均一性を改善するように構成され、前記電磁
石構成に接続された直流電源と、前記プラズマ処理チャンバに接続されたガスフ
ローシステムとを備え、前記ガスフローシステムは、前記流入ガスが前記プラズ
マ処理チャンバ内の最上部中央領域である第1の領域と、前記プラズマ処理チャ
ンバの周辺領域である第2の領域とに放出されるよう制御する。
【0012】 本発明は、数々の利点を持っている。特に、本発明は、分布、例えば、中性成
分の分布の均一性の制御を向上させるよう動作する。加えて、本発明は、エッチ
ング処理の特定の他のパラメータ(例えば、圧力、電力堆積、エッチング処理に
用いられる材料)を不必要に制限することなく、エッチング処理のよりよい制御
を行うために用いることができる。さらに、本発明は、中性成分の分布を変化さ
せる柔軟性を提供する。中性成分の分布は、同一のエッチング処理の異なる段階
だけでなく、異なるエッチング処理に対しても調節することができる。
【0013】 本発明のその他の態様および利点については、本発明の原理を例示した添付図
面と関連付けて行う以下の詳細な説明によって明らかになる。
【0014】
【発明の実施の形態】
本発明は、プラズマ処理システムでのエッチング処理のための改良装置および
方法に関する。本発明は、プラズマ処理チャンバへのプロセスガスの注入の制御
を改善することを可能とする。結果として、エッチング処理のよりよい制御が実
現される。その制御は、形状のサイズが小さくなるほど重要になる。本発明は、
さらに、処理された基板の欠陥を削減することにつながり、歩留まりが向上する
【0015】 本発明のこの態様の実施形態については、図1〜5を参照して以下で説明する
。しかしながら、本発明は、これらの限定された実施形態に縛られることはない
ため、当業者は、これらの図に関連して本明細書でなされた詳細な説明が、例示
的なものであることを容易に理解するだろう。
【0016】 一実施形態では、プラズマ処理チャンバを備えるプラズマ処理システムが開示
されている。プラズマ処理チャンバは、ガスフローシステムに接続されている。
ガスフローシステムは、プラズマ処理チャンバへのガスの放出を制御するために
用いることができる。流入ガスは、ガスフローシステムに受け入れられ、プラズ
マ処理チャンバに供給される。特定の実施形態によると、流入ガスは、ガスフロ
ーシステムの2つ以上のガス放出口によってプラズマ処理チャンバに供給される
。各ガス放出口は、プラズマ処理システム内の様々な所望の領域にガスを供給す
ることができる。さらに、放出されるガスの量(例えば、ガスの流量)は、ガス
フローシステムによって調節することができる。このように、プラズマ処理チャ
ンバに供給されるガスの位置と量とを制御することができる。プラズマ処理チャ
ンバに放出されるガスの位置と量の調節は、より好ましいプロセス制御を提供す
る。
【0017】 半導体の製造では、半導体ウエハすなわち基板上に形状が形成される。より詳
細には、様々な材料の連続的な層が、半導体ウエハすなわち基板に蒸着される。
次いで、蒸着された層の一部が選択的にエッチング除去され、連絡配線、トレン
チ、およびその他の形状が形成される。本発明の理解を容易にするために、図2
は、本発明の一実施形態に従って、プラズマ処理システム200を示している。
プラズマ処理システム200は、ウエハ支持機構、例えば、静電チャック(ES
C)206を有するプラズマ処理チャンバ202を備えている。ウエハ(基板)
204は、プラズマ処理チャンバ202内部の静電チャック(ESC)206上
に配置される。ESC206は、高周波(RF)電源(図示せず)に接続するこ
とのできる下部電極と呼ぶこともできる。
【0018】 ウエハ204の表面は、プラズマ処理チャンバ202に放出された適切なプラ
ズマ処理ソースガスによってエッチングされる。ソースガスは、単一のガスでも
複数のガスの混合でもよい。プラズマ処理チャンバ202にプラズマ処理ソース
ガスを放出する方法については、以下で詳細に論じる。真空プレート212は、
ウエハ処理チャンバ202の壁214と密封するように接触している。真空プレ
ート212に設けられたコイル216は、高周波(RF)電源(図示せず)に接
続されており、プラズマ処理チャンバ202に放出されたプラズマ処理ソースガ
スからプラズマを発生させる(点火する)ために用いられる。チャック206も
通例、エッチング処理中にはRF電源(図示せず)を用いてRF電力を供給され
る。さらに、ダクト220を通じてプラズマ処理チャンバ202から、処理ガス
と副生成ガスとを排出するために、ポンプ218が備えられている。
【0019】 プラズマ処理システム200は、ガスフローシステム221を備え、プラズマ
処理チャンバ202へのプラズマ処理ソースガスの供給を制御する。ガスフロー
システム221は、プラズマ処理チャンバ202内へのガス放出の制御を向上さ
せる。ガスフローシステム221は、ガスフロー制御部222を備える。ガスフ
ロー制御部222は、ガス供給手段から流入口224を通じてソースガスを受け
入れる。ソースガスは、単一のガスでも複数のガスの混合でもよい。ガスフロー
制御部222は、さらに、プラズマ処理チャンバ202の様々な位置にソースガ
スを制御して供給する流出口226および228を備える。
【0020】 ガス流出口226および228は、ソースガスがプラズマ処理チャンバ202
の様々な領域に供給されるように、プラズマ処理チャンバ202に接続可能であ
る。例えば、図2に示されているように、流出口226は、プラズマ処理チャン
バ202内の最上部中央領域にソースガスを供給するよう構成可能であり、流出
口228は、プラズマ処理チャンバ202の上側周辺領域にソースガスを供給す
るよう構成可能である。通例、最上部中央領域は、ウエハ204のすぐ上にあり
、上側周辺領域は、真空プレート212の近くの壁214にある。
【0021】 プラズマ処理チャンバ202の適切な位置にソースガスを導くために、プラズ
マ処理チャンバ202は、他の機構を用いることができる。ソースガスは、真空
プレート212の開口部が設けられている最上部中央領域に供給可能である。ソ
ースガスは、このように、ソースガス制御部222から流出口226を通じて、
次に開口部を通じてプラズマ処理チャンバ202に供給される。プラズマ処理チ
ャンバ202の上側周辺領域におけるソースガスの導入は、さらに複雑である。
プラズマ処理システム200は、真空プレート212と、プラズマ処理チャンバ
202の壁214の上面との間に設けられたリング230を備える。通例、壁2
14の上面とリング230の間はもちろん、リング230と真空プレート212
との間も密閉されている。ガス路ハウジング231は、リング230と一体とな
って、もしくはリング230に接続されて設けられている。ガス路ハウジング2
31は、プラズマ処理チャンバ202の周辺部に伸びるガス路232を形成して
いる。例えば、1つの特定の実施形態では、16個の開口部(例えば、孔)が互
いに等間隔で構成されている。さらに、一連の孔234がリング230に設けら
れている。これらの孔234は、リング230の周囲にほぼ等間隔で設けられて
おり、ガス路232と、プラズマ処理チャンバ202の上側の内部領域との間に
開口部を提供している。流出口228は、すべての孔234を通じて次々にソー
スガスを送るガス路232にソースガスを供給し、それにより、プラズマ処理チ
ャンバ202の周辺部(すなわち、壁)にソースガスを供給する。例えば、1つ
の特定の実施形態では、16の開口部(例えば、孔)が互いに等距離に構成され
ている。
【0022】 プラズマ処理チャンバ内の様々な領域にガスを供給することに加えて、ガスフ
ローシステム221は、様々な領域に供給されるガスの量(すなわち、量もしく
は流量)を制御することもできる。より詳細には、ガスフローシステム221は
、処理チャンバ内のある特定の領域に供給されるガスの量を決定するために用い
ることができる。一実施形態では、ガスフロー制御部222は、流出口226お
よび228各々を通るソースガスの流れを制御できる。例えば、ガスフロー制御
部222によって受け入れられたソースガスの全体積の70%を、流出口の一方
(例えば、流出口226)によって第1の領域に供給し、ソースガスの全体積の
残りの30%を、他方の流出口(例えば、流出口228)によって別の領域に供
給することができる。このように、流出口が様々な体積のガスを様々な領域に供
給することを可能とするように、ガスフロー制御機構を適合させることができる
。フロー制御部222は、各ガス流出口によって供給されるガスの量を制御する
ために、様々な周知の機構(例えば、バルブシステム)によって実現可能である
。さらに、フロー制御部222は通例、制御信号236によって制御される。
【0023】 流入口224によって受け入れられた流入ガスは、以前に混合された(例えば
、予混合された)混合ガスであってよい。あるいは、流入ガスは、2つ以上の別
々の流入口を通じて別々に供給され、フロー制御部222で混合された後に、混
合ガスとして流出口226および228によってプラズマ処理チャンバ202に
放出されてもよい。
【0024】 通例、流入口224は、ある特定のガス流量比で予混合された混合ガスを受け
入れる。例えば、ソースガスとしてのフッ化炭素ガスと酸素の混合ガスを、フッ
化炭素ガス対酸素の流量比が2:1となるように、フロー制御部222を通じて
プラズマ処理チャンバ202内に流すことができる。ガスフローシステム221
は、プラズマ処理チャンバ202の複数の位置に、同一のガス混合物を(すなわ
ち、同一の流量比で)供給することができる。あるいは、ガスフローシステム2
21は、プラズマ処理チャンバの様々な位置に様々な流量比で供給されるように
、ガスの流量比を制御することができる。
【0025】 一実施形態によると、ガスフローシステム221は、ガスフローシステム22
1によって受け取られたガス混合物と異なるガス混合物を放出することができる
ことに注目すべきである。例えば、流量比が1.5(フッ化炭素ガス):1(酸
素)であるフッ化炭素ガスと酸素との混合ガスが、ガスフローシステム221に
よって受け取られた場合に、異なる流量比でガス流出口から放出されてもよい。
例えば、一方のガス流出口は、1(フッ化炭素):1(酸素)の流量比でガスを
放出し、他方のガス流出口は、2(フッ化炭素):1(酸素)の流量比でガスを
放出する。ガスの流量比は、一方のガス流出口が、別のガスもしくは混合ガスで
はなく、ある特定のガスのみを供給するよう調整してもよいことを理解すべきで
ある。
【0026】 このように、ガスフローシステム221は、流入ガスを、プラズマ処理チャン
バ202の所望の領域に放出することを可能とする。加えて、その領域に放出さ
れるガスの体積(例えば、流量)を、ガスフローシステム221によって調節す
ることができる。さらに、ガスフローシステム221は、プラズマ処理チャンバ
へ放出される様々なガスの相対流量比の調節を可能とする。またさらに、ある特
定の領域に供給されるガスの量、体積、相対流量を、処理の様々な段階(例えば
、エッチング処理)に対して変更することができる。例えば、ガスフローシステ
ム221は、エッチング処理のある段階に対してプラズマ処理ガスの流量をある
値に設定し、次に、同じエッチング処理の次の段階に対してプラズマ処理ガスの
流量を変更することができる。
【0027】 図2は、上側周辺領域だけでなく最上部周辺領域にも供給されるソースガスを
示しているが、一般に、ソースガスは、プラズマ処理チャンバ内の複数の異なる
位置への供給が可能である。例えば、ソースガスは、下側周辺領域に供給されて
もよい。そのようなソースガスは、プラズマ処理チャンバの壁にある孔を通じて
プラズマ処理チャンバに供給できる。別の例では、ガスは、基板周辺(例えば、
ウエハ204の端部付近)の下部領域に供給されてもよい。例えば、そのような
ソースガスは、ウエハ204の端部付近のESC206によって放出することが
できる。
【0028】 図3は、本発明の別の実施形態に従って、下側周辺領域と共に、最上部周辺領
域に流入ガスを供給、放出するのに適したプラズマ処理システム300を簡単に
示している。プラズマ処理システム300は、図2のプラズマ処理チャンバ20
0と同様に、ガスフローシステム221を備え、プラズマ処理チャンバ202へ
のガスの供給を制御する。この特定の実施形態では、ガスフロー制御部222は
、プラズマ処理チャンバ202の最上部中央領域にソースガスを供給する流出口
302と、プラズマ処理チャンバ202の下側周辺領域にソースガスを制御して
供給する流出口304とを備える。
【0029】 さらに、当業者には明らかなように、必要であれば、プラズマ処理チャンバ内
の様々な領域にガスを供給するために、3つ以上の流出口を用いてもよい。図4
は、本発明の別の実施形態に従って、プラズマ処理チャンバへのガスの供給を制
御するのに適したガスフローシステム400を示している。ガスフローシステム
400は、ガスフロー制御部402を備える。ガスフロー制御部402は、流入
口404および406を通じてソースガスを受け入れることができる。ガスフロ
ー制御部402が受け入れるソースガスは、単一のガスでも複数のガスの混合で
もよい。図4に示すように、ガスフローシステム400は、プラズマ処理チャン
バの様々な領域にガスを制御して供給するのに適したガス流出口408、410
、および412を備えている。例えば、ガス流出口408、410、および41
2は、プラズマ処理チャンバ202の3つの異なる領域、例えば、最上部中央領
域、上側周辺領域、および下側周辺領域にガスを制御して供給するために用いる
ことができる。さらに、ガスフローシステム402は、ガス流出口408、41
0、および412によって異なる領域に供給されるガスの量を調節できるように
構成される。
【0030】 図4に示されているように、2つのガス流入口(404および406)は、異
なる領域へガス流出口408、410、および412によって供給されるソース
ガスを受け入れるために用いることができる。ガス流入口404および406は
各々、異なるガスもしくは異なる組み合わせのガスを受け入れることができる。
ある領域に放出されるガス流量やガス流量比の値は、ガス流入口404および4
06によって受け入れられる値と異なってもよいことを理解すべきである。換言
すれば、ガスフローシステム400は、プラズマ処理チャンバの様々な領域にガ
スを放出するためのガス流量を決定し、調節することを可能とする。例えば、あ
る領域にガスを放出する流量は、異なる領域にガスを放出する流量と異なっても
よい。さらに、1もしくはすべての領域にガスを放出する流量は、ガスフローシ
ステム400によって受け入れられた際の流量と異なってもよい。ガスフローシ
ステムは、3つの領域にガスを放出するよう構成されているが、エッチング処理
中の任意の特定の時間にすべての領域にガスが放出されることを意味するとは限
らないことにも注意すべきである。例えば、ガス流出口408への流れは、エッ
チング処理のある特定の時点には完全に遮断されていてもよい。ある領域へのガ
スの流れを、エッチング処理後に開始したり再開したりすることも可能である。
【0031】 ソースガスは、様々な機構によってプラズマ処理チャンバ202に供給するこ
とができる。例えば、ソースガスは、ガスリングや、プラズマ処理チャンバ10
2の壁に設けられたポートもしくは孔のような開口部によって供給することがで
きる。ガス分配プレート(GDP)を有するプラズマ処理システムでは、ソース
ガスは、GDPに設けられた孔のパターンから供給することができる。ソースガ
スがエッチング処理中にプラズマ処理チャンバ内に到達する方法に関係なく、ソ
ースガスは、次いで、プラズマを発生させるために励起される。ウエハ204の
表面は、プラズマによってエッチングされる。一実施例では、ソースガスは、フ
ッ化炭素と酸素ガスとの混合ガスを含んでいる。そのようなソースガスに加えて
、さらに、他のガスをプラズマ処理チャンバ102に流してもよい。これらの他
のガスは通例、ソースガスと混合されるが、別個になっていてもよい。例えば、
アルゴンのような他のガスが、希釈剤もしくは堆積先駆物質として、プラズマ処
理チャンバ202に放出されてもよい。
【0032】 当業者が理解するように、本発明は、その他数多くの適切に構成された処理チ
ャンバで実施してもよい。例えば、本発明は、ヘリコン、ヘリカル共振器、変成
器結合プラズマ(TCP)などの誘導結合RF源や静電結合平行電極板を通じて
、エネルギをプラズマに供給する処理チャンバに応用可能である。TCPプラズ
マ処理システムは、カリフォルニア州フレモントのラムリサーチ社から入手でき
る。適切な処理チャンバの他の例としては、誘導プラズマ源(IPS)、分離プ
ラズマ(DPS)、双極子リング磁石(DRM)が挙げられる。
【0033】 従来の技術で言及したように、現代の集積回路の製造では、エッチング処理を
よりよく制御することが当業者に求められ続けている。例えば、重要なエッチン
グ処理パラメータは、プラズマ処理チャンバ内のプラズマの分布である。より詳
しくは、当業者に周知のように、プラズマ処理の条件は、荷電成分と中性成分の
両方に関係する。周知のように、荷電成分(例えば、正および負のガスイオン)
は、エッチング処理に大きく影響する。中性成分も、エッチング処理に影響する
ことがある。例えば、基板ウエハと反応する中性ガス成分もあれば、処理チャン
バの壁に付着する中性成分もある。このように、中性プラズマ成分の分布を制御
することも、エッチング処理を大幅に改善する可能性があるため好ましい。さら
に、中性および荷電成分両方の分布の制御を向上できるプラズマ処理システムお
よび方法を提供することは非常に好ましい。当業者が理解するように、中性およ
び荷電成分両方の分布を制御できれば、エッチング処理が大きく向上するだろう
【0034】 さらに、特定の処理パラメータを制限することなくプラズマの分布をよりよく
制御できることも好ましい。より詳細には、特定のパラメータは、プラズマ成分
の分布に影響しうる。これらのパラメータには、電力プロファイル、処理チャン
バの動作圧力、製品の仕様、ウエハ基板を形成する様々な材料の付着係数が含ま
れる。プラズマ成分の分布は、これらのパラメータの内の1つもしくは複数の影
響を受けるのだが、これらのパラメータを制限することによってプラズマの分布
をよりよく制御しようとすることは不都合であろう。例えば、ウエハを形成する
ために用いる材料を制限することは、大いに好ましくないだろう。
【0035】 図5は、本発明のさらに別の実施形態に従って、中性および荷電成分の両方の
分布をよりよく制御できるプラズマ処理システム500を示している。プラズマ
処理システム500は、典型的なRFアンテナ構成502と、典型的な上側磁石
構成504とを備えている。図5の例では、RFアンテナ構成502および上側
磁石構成504は、プラズマ処理チャンバ506の上方に配置されている。ウエ
ハ508は、プラズマ処理チャンバ内部のチャックに配置される。本願と共に提
出された同時係属のUS特許出願No.09/439,661(Att. Dk
t. No. LAM1P122)「IMPROVED PLASMA PRO
CESSING SYSTEMS AND METHODS THEREFOR
」で論じられているように、RFアンテナ構成502および上側磁石構成504
に関しては、他の位置も可能である。
【0036】 RFアンテナ構成502は、RF電源512に接続されている。RF電源51
2は、約0.4MHzから約50MHzの範囲の周波数を持つRFエネルギを、
RFアンテナ構成502に供給することができる。この特定の実施形態では、上
側磁石構成504は、2つの同軸の磁石コイルを備えている。両方のコイルには
、DC電流が反対方向に流れる。上側磁石構成504は、可変直流(DC)電源
514に接続されている。可変直流電源514は、上側磁石構成504の電磁コ
イルに供給される直流の大きさと方向の少なくとも一方を変えるよう構成されて
いる。プラズマ処理システム500に関しては、他の構成も可能であることに注
意すべきである。例えば、引用した出願に論じられているように、磁石バケット
構成(図示せず)が、プラズマ処理チャンバの外側周辺に配置されてもよい。
【0037】 プラズマ処理システム500の磁石構成、もしくは引用した出願に記載された
その他の磁石構成は、エッチング処理制御を大幅に向上させる可能性がある。よ
り詳細には、特に、磁石構成は、荷電成分の分布に影響し、プラズマ分布をより
制御する。プラズマ分布をより制御することにより、エッチング処理の制御が向
上する。残念ながら、荷電成分と違い、中性成分は、磁場にほとんど反応しない
【0038】 図5に示されているように、ガスフローシステム516は、磁石構成504と
組み合わせて用いることができる。ガスフローシステム516は、中性ガス成分
の分布をより制御するために用いることができる。これにより、エッチング処理
の制御をさらに向上させることができる。例えば、ガスフローシステム516は
、図2に示されたプラズマ処理システム200に関して説明したように、プラズ
マ処理チャンバの様々な領域にガスを供給するために用いることができる。さら
に、ガスフローシステム516は、ある特定の領域に供給されるガスの量を調節
できるように構成されている。このように、引用した出願に記載されたような磁
石構成と共にガスフローシステム516を用いると、エッチング処理のさらなる
制御が可能となる。
【0039】 中性成分の分布は、例えば、流入ガスがプラズマ処理チャンバに滞在する平均
時間や、流入ガスが「ホットゾーン」に滞在する平均時間、を変化させることに
より影響を受けることがあると考えられる。ここで用いられているホットゾーン
とは、流入ガスが励起される領域を指す。例えば、流入ガスは、プラズマ処理チ
ャンバの最上部表面に近い領域で励起される。処理チャンバに供給されるガスの
位置と量を変化させることができれば、中性成分がプラズマ処理チャンバやホッ
トゾーンに滞在する時間を調節することが可能となる。例えば、処理チャンバに
滞在する平均時間は通例、ガス粒子がプラズマ処理チャンバの上側の領域に放出
されると長くなる。それに対して、処理チャンバに滞在する平均時間は通例、ガ
ス粒子がプラズマ処理チャンバの下側の領域に放出されると、ガスが処理チャン
バから早く排出されるために短くなる。
【0040】 本発明は、数々の利点を持っている。1つの利点は、本発明は、中性成分とプ
ラズマ成分の少なくとも一方の分布の制御を向上させることにより、エッチング
処理をよりよく制御するよう動作することである。別の利点は、エッチング処理
のさらなる制御を、特定の他のパラメータ(例えば、圧力、電力プロファイルな
ど)を制限する必要なく実現できることである。さらに別の利点は、本発明は、
同一のエッチング処理の異なる段階だけでなく、異なるエッチング処理に対して
も中性成分とプラズマ成分の少なくとも一方の分布を変化させる柔軟性を提供で
きることである。
【0041】 当業者が理解するように、本発明は、コンタクトやビアなどの様々なエッチン
グ形状を形成するために用いることができる。さらに、当業者が理解するように
、本発明は、デュアルダマシン、フォトレジストはく離、チャンバ洗浄などの様
々なエッチング技術と共に用いることができる。
【0042】 本発明のいくつかの実施形態についてのみ、詳細に説明したが、本発明の趣旨
や範囲を逸脱せずに、様々な他の的確な形態で本発明を実施できることを理解す
べきである。したがって、上述した例は、例示を目的としたものであって限定的
ではなく、本発明は、本明細書で取り上げた項目に限定されず、添付した特許請
求の範囲の範囲内で変更することができる。
【図面の簡単な説明】
【図1】 基板をエッチングするのに適したプラズマ処理システムを示す図である。
【図2】 本発明の一実施形態に従ってガスフローシステムを備えるプラズマ処理システ
ムを示す図である。
【図3】 本発明の別の実施形態に従ってガスフローシステムを備えるプラズマ処理シス
テムを示す図である。
【図4】 本発明のさらに別の実施形態に従ってガスフローシステムを備えるプラズマ処
理システムを示す図である。
【図5】 本発明の特定の実施形態に従って、ガスフローシステムと共に磁石の配列を備
えるプラズマ処理システムを示す図である。
【符合の説明】
100 プラズマ処理装置 102 プラズマ処理チャンバ 104 静電チャック 106 ウエハ 108 シャワーヘッド 110 真空プレート 112 壁 114 コイル 116 ポンプ 118 ダクト 200 プラズマ処理システム 202 プラズマ処理チャンバ 204 ウエハ 206 静電チャック 212 真空プレート 214 壁 216 コイル 218 ポンプ 220 ダクト 221 ガスフローシステム 222 ガスフロー制御部 224 流入口 226 流出口 228 流出口 230 リング 231 ガス路ハウジング 232 ガス路 234 孔 236 制御信号 300 プラズマ処理システム 302 流出口 304 流出口 400 ガスフローシステム 402 ガスフロー制御部 404 流入口 406 流入口 408 ガス流出口 410 ガス流出口 412 ガス流出口 500 プラズマ処理システム 502 RFアンテナ構成 504 上側磁石構成 506 プラズマ処理チャンバ 510 チャック 512 RF電源 514 可変直流電源 516 ガスフローシステム
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE,TR),OA(BF ,BJ,CF,CG,CI,CM,GA,GN,GW, ML,MR,NE,SN,TD,TG),AP(GH,G M,KE,LS,MW,MZ,SD,SL,SZ,TZ ,UG,ZW),EA(AM,AZ,BY,KG,KZ, MD,RU,TJ,TM),AE,AG,AL,AM, AT,AU,AZ,BA,BB,BG,BR,BY,B Z,CA,CH,CN,CR,CU,CZ,DE,DK ,DM,DZ,EE,ES,FI,GB,GD,GE, GH,GM,HR,HU,ID,IL,IN,IS,J P,KE,KG,KP,KR,KZ,LC,LK,LR ,LS,LT,LU,LV,MA,MD,MG,MK, MN,MW,MX,MZ,NO,NZ,PL,PT,R O,RU,SD,SE,SG,SI,SK,SL,TJ ,TM,TR,TT,TZ,UA,UG,US,UZ, VN,YU,ZA,ZW (72)発明者 シェップ・アラン・エム. アメリカ合衆国 カリフォルニア州95005 ベン・ロモンド,ハイウェイ 9, 10010 (72)発明者 ヘンカー・デイビッド・ジェイ. アメリカ合衆国 カリフォルニア州95127 サン・ホセ,エンチャント・ビスタ・ア ベニュー,11470 (72)発明者 ウィルコックスソン・マーク・エイチ. アメリカ合衆国 カリフォルニア州94611 ピードモント,ロナダ・アベニュー,85 Fターム(参考) 5F004 BA20 BB22 BC02 BC03 BD03 CA02 CA09

Claims (37)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ処理システムであって、 基板の処理に用いられるプラズマ処理チャンバと、 前記プラズマ処理チャンバに接続されたガスフローシステムと、 を備え、 前記ガスフローシステムは、前記プラズマ処理チャンバの少なくとも2つの異
    なる領域への流入ガスの流れを制御する、システム。
  2. 【請求項2】 請求項1記載のプラズマ処理システムであって、 前記少なくとも2つの異なる領域は、最上部中央領域と上側周辺領域とを含む
    、システム。
  3. 【請求項3】 請求項1記載のプラズマ処理システムであって、 前記少なくとも2つの異なる領域は、最上部中央領域と下側周辺領域とを含む
    、システム。
  4. 【請求項4】 請求項1記載のプラズマ処理システムであって、 前記少なくとも2つの異なる領域は、最上部中央領域と、下側周辺領域と、上
    側周辺領域とを含む、システム。
  5. 【請求項5】 請求項1記載のプラズマ処理システムであって、 前記少なくとも2つの異なる領域は、前記基板近傍の下部領域を含む、システ
    ム。
  6. 【請求項6】 請求項1記載のプラズマ処理システムであって、 前記プラズマ処理システムは、チャックを備え、 前記少なくとも2つの異なる領域は、前記基板の端部近傍の下部領域を含み、 前記流入ガスは、前記チャックを通じて放出される、システム。
  7. 【請求項7】 請求項1記載のプラズマ処理システムであって、 前記フローシステムは、前記プラズマ処理チャンバの前記少なくとも2つの異
    なる領域への前記流入ガスの量ないし体積を制御する、システム。
  8. 【請求項8】 請求項1記載のプラズマ処理システムであって、 前記フローシステムは、前記プラズマ処理チャンバの前記少なくとも2つの異
    なる領域への前記流入ガスの流量を制御する、システム。
  9. 【請求項9】 請求項1記載のプラズマ処理システムであって、 前記流入ガスは、少なくとも第1および第2のガスを含み、 前記フローシステムは、前記プラズマ処理チャンバの前記少なくとも2つの異
    なる領域への前記少なくとも第1および第2のガスの相対流量を独立して制御す
    る、システム。
  10. 【請求項10】 請求項1記載のプラズマ処理システムであって、さらに、 前記プラズマ処理チャンバに接続されたガス供給リングを備え、 前記フローシステムは、前記ガス供給リングへの前記流入ガスの量ないし体積
    を制御し、これにより前記プラズマ処理チャンバの周辺領域に前記流入ガスを供
    給する、システム。
  11. 【請求項11】 請求項10記載のプラズマ処理システムであって、 前記ガス供給リングは、前記プラズマ処理チャンバの上側部分に設けられ、こ
    れにより前記プラズマ処理チャンバの上側周辺領域に前記流入ガスを供給する、
    システム。
  12. 【請求項12】 請求項1記載のプラズマ処理システムであって、 前記プラズマ処理チャンバは、少なくとも内壁を備え、 前記ガスフローシステムは、 前記プラズマ処理チャンバに供給される前記流入ガスを受け入れるための少な
    くとも1つのガス流入口と、 前記プラズマ処理システムに前記流入ガスを供給することができる少なくとも
    第1および第2のガス流出口と、 を備え、 前記流入ガスの少なくとも一部は、前記第1および第2のガス流出口を通じて
    前記プラズマ処理チャンバに供給される、システム。
  13. 【請求項13】 請求項12記載のプラズマ処理システムであって、 前記流入ガスの少なくとも一部は、第2の領域に放出され、 前記第1の領域は、前記プラズマ処理チャンバ内の最上部中央領域であり、 前記第1の領域に放出される前記流入ガスは、前記第1のガス流出口から供給
    される、システム。
  14. 【請求項14】 請求項12記載のプラズマ処理システムであって、 前記流入ガスの少なくとも一部は、第2の領域に放出され、 前記第1の領域は、前記プラズマ処理チャンバの前記内壁を取り囲む上側周辺
    領域であり、 前記第2の領域に放出される前記流入ガスは、前記第2のガス流出口から供給
    される、システム。
  15. 【請求項15】 請求項12記載のプラズマ処理システムであって、 前記流入ガスの少なくとも一部は、前記プラズマ処理チャンバの前記内壁を取
    り囲む下側周辺領域である第2の領域に放出され、 前記第2の領域に放出される前記流入ガスは、前記第2のガス流出口から供給
    される、システム。
  16. 【請求項16】 請求項12記載のプラズマ処理システムであって、 前記ガスフローシステムは、前記第1および第2のガス流出口の各々によって
    前記プラズマ処理チャンバに供給される前記流入ガスの量ないし体積を決定する
    ためのガスフロー制御信号を受け取る、システム。
  17. 【請求項17】 請求項16記載のプラズマ処理システムであって、 前記ガスフロー制御信号は、前記第1および第2のガス流出口の各々による前
    記プラズマ処理チャンバへのガスの供給の流量を決定する、システム。
  18. 【請求項18】 請求項16記載のプラズマ処理システムであって、 前記流入ガスは、少なくとも第1および第2のガスを含み、 前記フロー制御信号は、前記プラズマ処理チャンバの前記少なくとも2つの異
    なる領域への前記少なくとも第1および第2のガスの相対流量を独立して決定す
    る、システム。
  19. 【請求項19】 基板を処理するためのプラズマ処理システムであって、 別個のプラズマ生成チャンバを有せず、上端と下端とを有し、前記処理のため
    に内部でプラズマが点火および維持される実質的に円筒型のプラズマ処理チャン
    バと、 前記プラズマ処理チャンバの上端に配置された結合窓と、 前記処理のために前記基板が前記プラズマ処理チャンバに配置されるときに、
    前記基板によって規定される平面よりも上側に配置されたRFアンテナ構成と、 少なくとも1つの直流電流が供給されると、前記RFアンテナ近傍の領域の前
    記プラズマ処理チャンバ内における静磁場トポロジーが放射状に変動し、前記変
    動が前記基板全体の処理の均一性に影響するように構成され、前記基板によって
    規定される前記平面よりも上側に配置された電磁石構成と、 前記少なくとも1つの直流電流の大きさを変化させるための制御部を有し、こ
    れにより前記アンテナ近傍の領域の前記プラズマ処理チャンバ内における前記磁
    場トポロジーの前記放射状の変動を変化させて前記基板全体の処理の均一性を改
    善するように構成され、前記電磁石構成に接続された直流電源と、 前記プラズマ処理チャンバの少なくとも2つの異なる領域への流入ガスの流れ
    を制御するように構成され、前記プラズマ処理チャンバに接続されたガスフロー
    システムと、 を備えるシステム。
  20. 【請求項20】 請求項19記載のプラズマ処理システムであって、 前記少なくとも2つの異なる領域は、最上部中央領域と上側周辺領域とを含む
    、システム。
  21. 【請求項21】 請求項19記載のプラズマ処理システムであって、 前記少なくとも2つの異なる領域は、最上部中央領域と下側周辺領域とを含む
    、システム。
  22. 【請求項22】 請求項19記載のプラズマ処理システムであって、 前記少なくとも2つの異なる領域は、最上部中央領域と、下側周辺領域と、上
    側周辺領域とを含む、システム。
  23. 【請求項23】 請求項19記載のプラズマ処理システムであって、 前記フローシステムは、前記プラズマ処理チャンバの前記少なくとも2つの異
    なる領域への前記流入ガスの量ないし体積を制御する、システム。
  24. 【請求項24】 請求項19記載のプラズマ処理システムであって、 前記フローシステムは、前記プラズマ処理チャンバの前記少なくとも2つの異
    なる領域への前記流入ガスの流量を制御する、システム。
  25. 【請求項25】 請求項19記載のプラズマ処理システムであって、 前記流入ガスは、少なくとも第1および第2のガスを含み、 前記フローシステムは、前記プラズマ処理チャンバの前記少なくとも2つの異
    なる領域への前記少なくとも第1および第2のガスの相対流量を独立して制御す
    る、システム。
  26. 【請求項26】 請求項19記載のプラズマ処理システムであって、さらに
    、 前記プラズマ処理チャンバに接続されたガス供給リングを備え、 前記フローシステムは、前記ガス供給リングへの前記流入ガスの量ないし体積
    を制御し、これにより前記プラズマ処理チャンバの周辺領域に前記流入ガスを供
    給する、システム。
  27. 【請求項27】 請求項26記載のプラズマ処理システムであって、 前記ガス供給リングは、前記プラズマ処理チャンバの上側部分に設けられ、こ
    れにより前記プラズマ処理チャンバの上側周辺領域に前記流入ガスを供給する、
    システム。
  28. 【請求項28】 請求項19記載のプラズマ処理システムであって、 前記プラズマ処理チャンバは、少なくとも内壁を備え、 前記ガスフローシステムは、 前記プラズマ処理チャンバに供給される前記流入ガスを受け入れるための少な
    くとも1つのガス流入口と、 前記プラズマ処理システムに前記流入ガスを供給することができる少なくとも
    第1および第2のガス流出口と、 を備え、 前記流入ガスの少なくとも一部は、前記第1および第2のガス流出口を通じて
    前記プラズマ処理チャンバに供給される、システム。
  29. 【請求項29】 請求項28記載のプラズマ処理システムであって、 前記流入ガスの前記少なくとも一部は、第2の領域に放出され、 前記第1の領域は、前記プラズマ処理チャンバ内の最上部中央領域であり、 前記第1の領域に放出される前記流入ガスは、前記第1のガス流出口から供給
    される、システム。
  30. 【請求項30】 請求項28記載のプラズマ処理システムであって、 前記流入ガスの少なくとも一部は、第2の領域に放出され、 前記第1の領域は、前記プラズマ処理チャンバの前記内壁を取り囲む上側周辺
    領域であり、 前記第2の領域に放出される前記流入ガスは、前記第2のガス流出口から供給
    される、システム。
  31. 【請求項31】 請求項28記載のプラズマ処理システムであって、 前記流入ガスの少なくとも一部は、前記プラズマ処理チャンバの前記内壁を取
    り囲む下側周辺領域である第2の領域に放出され、 前記第2の領域に放出される前記流入ガスは、前記第2のガス流出口から供給
    される、システム。
  32. 【請求項32】 請求項28記載のプラズマ処理システムであって、 前記ガスフローシステムは、前記第1および第2のガス流出口の各々によって
    前記プラズマ処理チャンバに供給される前記流入ガスの量ないし体積を決定する
    ためのガスフロー制御信号を受け取る、システム。
  33. 【請求項33】 請求項32記載のプラズマ処理システムであって、 前記ガスフロー制御信号は、前記第1および第2のガス流出口の各々による前
    記プラズマ処理チャンバへのガスの供給の流量を決定する、システム。
  34. 【請求項34】 請求項32記載のプラズマ処理システムであって、 前記流入ガスは、少なくとも第1および第2のガスを含み、 前記フロー制御信号は、前記プラズマ処理チャンバの前記少なくとも2つの異
    なる領域への前記少なくとも第1および第2のガスの相対流量を独立して決定す
    る、システム。
  35. 【請求項35】 請求項19記載のプラズマ処理システムであって、 前記少なくとも2つの異なる領域は、前記基板の近くの下部領域を含む、シス
    テム。
  36. 【請求項36】 請求項19記載のプラズマ処理システムであって、 前記プラズマ処理システムは、チャックを備え、 前記少なくとも2つの異なる領域は、前記基板の端部付近の下部領域を含み、 前記流入ガスは、前記チャックを通じて放出される、システム。
  37. 【請求項37】 基板を処理するためのプラズマ処理システムであって、 別個のプラズマ生成チャンバを有せず、上端と下端とを有し、前記処理のため
    に内部でプラズマが点火および維持される実質的に円筒型のプラズマ処理チャン
    バと、 前記プラズマ処理チャンバの上端に配置された結合窓と、 前記処理のために前記基板が前記プラズマ処理チャンバに配置されるときに、
    前記基板によって規定される平面よりも上側に配置されたRFアンテナ構成と、 少なくとも1つの直流電流が供給されると、前記RFアンテナ近傍の領域の前
    記プラズマ処理チャンバ内における静磁場トポロジーが放射状に変動し、前記変
    動が前記基板全体の処理の均一性に影響するように構成され、前記基板によって
    規定される前記平面よりも上側に配置された電磁石構成と、 前記少なくとも1つの直流電流の大きさを変化させるための制御部を有し、こ
    れにより前記アンテナ近傍の領域の前記プラズマ処理チャンバ内における前記磁
    場トポロジーの前記放射状の変動を変化させて前記基板全体の処理の均一性を改
    善するように構成され、前記電磁石構成に接続された直流電源と、 前記プラズマ処理チャンバに接続されたガスフローシステムと、 を備え、 前記ガスフローシステムは、前記流入ガスが前記プラズマ処理チャンバ内の最
    上部中央領域である第1の領域と、前記プラズマ処理チャンバの周辺領域である
    第2の領域とに放出されるよう制御する、システム。
JP2001537773A 1999-11-15 2000-11-14 動的ガス分配制御を行うプラズマ処理システム Pending JP2003518734A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/470,236 1999-11-15
US09/470,236 US20030155079A1 (en) 1999-11-15 1999-11-15 Plasma processing system with dynamic gas distribution control
PCT/US2000/031434 WO2001037317A1 (en) 1999-11-15 2000-11-14 Plasma processing system with dynamic gas distribution control

Publications (2)

Publication Number Publication Date
JP2003518734A true JP2003518734A (ja) 2003-06-10
JP2003518734A5 JP2003518734A5 (ja) 2008-07-10

Family

ID=23866787

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001537773A Pending JP2003518734A (ja) 1999-11-15 2000-11-14 動的ガス分配制御を行うプラズマ処理システム

Country Status (10)

Country Link
US (1) US20030155079A1 (ja)
EP (1) EP1230665B1 (ja)
JP (1) JP2003518734A (ja)
KR (1) KR100774228B1 (ja)
CN (1) CN1267965C (ja)
AT (1) ATE412250T1 (ja)
AU (1) AU1767401A (ja)
DE (1) DE60040611D1 (ja)
TW (1) TW561545B (ja)
WO (1) WO2001037317A1 (ja)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US7540935B2 (en) * 2003-03-14 2009-06-02 Lam Research Corporation Plasma oxidation and removal of oxidized material
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US7436645B2 (en) * 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
DE112006000327T5 (de) * 2005-03-03 2007-12-27 Applied Materials, Inc., Santa Clara Vorrichtung zur Temperatursteuerung eines Substrats
JP4402016B2 (ja) * 2005-06-20 2010-01-20 キヤノン株式会社 蒸着装置及び蒸着方法
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US20070264842A1 (en) * 2006-05-12 2007-11-15 Samsung Electronics Co., Ltd. Insulation film deposition method for a semiconductor device
US9275887B2 (en) 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
CN1996546B (zh) * 2006-12-05 2010-05-26 中国科学院等离子体物理研究所 离子源进气实时控制系统及控制方法
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
CN102473634B (zh) * 2009-08-20 2015-02-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR101048193B1 (ko) * 2009-08-28 2011-07-08 주식회사 디엠에스 에칭가스 제어시스템
US8178280B2 (en) * 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
SI23626A (sl) 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
CN103839746A (zh) * 2012-11-26 2014-06-04 上海华虹宏力半导体制造有限公司 刻蚀设备工艺气体供气装置
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US20160002784A1 (en) 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
JP2017010993A (ja) * 2015-06-17 2017-01-12 東京エレクトロン株式会社 プラズマ処理方法
JP6920676B2 (ja) * 2017-04-19 2021-08-18 パナソニックIpマネジメント株式会社 微粒子製造装置および微粒子製造方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03224224A (ja) * 1990-01-30 1991-10-03 Fujitsu Ltd ドライエッチング方法
JPH08239775A (ja) * 1994-10-26 1996-09-17 Applied Materials Inc プロセスガス流入及び散布の通路
JPH0927485A (ja) * 1992-09-08 1997-01-28 Applied Materials Inc プラズマエッチング方法
JPH10242118A (ja) * 1997-02-27 1998-09-11 Matsushita Electron Corp 半導体装置の製造装置
JPH11154600A (ja) * 1997-07-15 1999-06-08 Applied Materials Inc オーバーヘッドソレノイドアンテナ及びモジュラ閉込めマグネットライナを有する誘導結合されたrfプラズマ反応装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JP2892070B2 (ja) * 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JP3032104B2 (ja) * 1993-07-20 2000-04-10 住友林業株式会社 柱下端部の固定方法
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03224224A (ja) * 1990-01-30 1991-10-03 Fujitsu Ltd ドライエッチング方法
JPH0927485A (ja) * 1992-09-08 1997-01-28 Applied Materials Inc プラズマエッチング方法
JPH08239775A (ja) * 1994-10-26 1996-09-17 Applied Materials Inc プロセスガス流入及び散布の通路
JPH10242118A (ja) * 1997-02-27 1998-09-11 Matsushita Electron Corp 半導体装置の製造装置
JPH11154600A (ja) * 1997-07-15 1999-06-08 Applied Materials Inc オーバーヘッドソレノイドアンテナ及びモジュラ閉込めマグネットライナを有する誘導結合されたrfプラズマ反応装置

Also Published As

Publication number Publication date
AU1767401A (en) 2001-05-30
KR20020060970A (ko) 2002-07-19
US20030155079A1 (en) 2003-08-21
WO2001037317A1 (en) 2001-05-25
EP1230665A1 (en) 2002-08-14
DE60040611D1 (de) 2008-12-04
TW561545B (en) 2003-11-11
EP1230665B1 (en) 2008-10-22
CN1423825A (zh) 2003-06-11
ATE412250T1 (de) 2008-11-15
KR100774228B1 (ko) 2007-11-07
CN1267965C (zh) 2006-08-02

Similar Documents

Publication Publication Date Title
JP2003518734A (ja) 動的ガス分配制御を行うプラズマ処理システム
US8840753B2 (en) Plasma etching unit
CN107895682A (zh) 具有流通源的腔室
US9011631B2 (en) Rapid and uniform gas switching for a plasma etch process
KR100954709B1 (ko) 조절 가능한 다중-구역 가스 주입 시스템
EP1230666B1 (en) Plasma processing systems and method therefor
US8753474B2 (en) Method and apparatus for high efficiency gas dissociation in inductive couple plasma reactor
US20050039854A1 (en) Plasma etching method and plasma etching unit
US9502219B2 (en) Plasma processing method
US20040040939A1 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
JP2002518842A (ja) 半導体処理室用電極及びその製造方法
JP2006203210A (ja) 半導体プラズマ処理装置及び方法
JP2001503554A (ja) プラズマ発生方法、および誘導結合されたプラズマ発生源を含むプラズマ発生装置
WO2008010943A2 (en) Hybrid rf capacitively and inductively coupled plasma source using multifrequency rf powers and methods of use thereof
JPH05259119A (ja) プラズマ処理装置およびプラズマ処理方法
EP1831429A2 (en) Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
JP2010510669A (ja) 高速ガス切り替えプラズマ処理装置
JP2003503840A (ja) 高位置固定均一化リング
US20010037770A1 (en) Plasma processing apparatus and processing method
WO2009070562A1 (en) Plasma control using dual cathode frequency mixing
KR20080023061A (ko) 플라즈마 생성장치 및 생성방법
US20050139317A1 (en) Shielding plate in plasma for uniformity improvement
JP2002503031A (ja) 種密度を個別制御するプラズマアシスト処理チャンバ
KR100716263B1 (ko) 건식 식각 장치
JP2000208496A (ja) ドライエッチング装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080526

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101001

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101005

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110419