TW399396B - Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners - Google Patents

Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners Download PDF

Info

Publication number
TW399396B
TW399396B TW087110608A TW87110608A TW399396B TW 399396 B TW399396 B TW 399396B TW 087110608 A TW087110608 A TW 087110608A TW 87110608 A TW87110608 A TW 87110608A TW 399396 B TW399396 B TW 399396B
Authority
TW
Taiwan
Prior art keywords
patent application
item
reactor
plasma
chamber
Prior art date
Application number
TW087110608A
Other languages
English (en)
Inventor
Kenneth Collins
Michael Rice
Douglas Buchberger
Craig Roderick
Eric Askarinam
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW399396B publication Critical patent/TW399396B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

A7 B7 五、發明説明() 〜 發明領域: 本發明關於一於電感耦合射頻電漿反應器類型中之 加熱及冷卻設備,該反應器具有一反應室天花板重疊於予 以處理之工件上’以及,一電感線圏天線接近該天花板· 相關前案: 本案係為由肯尼.柯林等人於1996年十月21日所申 請之案號第08/733,555號命名為,,用於具有一在上方的螺 旋天線之電感核合射頻反應器之熱控制設備"之部份接續 案’該案係為肯尼.柯林等人申請於1996年3月13曰之 案名為"具有在上方螺旋天線之電感耦合射頻電漿反應器 "之部份接續案’該案係為以下合併申請中之美國專利案 之部份接續案,其揭示於此係作為參考: (a) 由肯尼.柯林等人申請於1995年12月20日案號 為第08/580,026號,其係為申請於J993年4月1曰之案 號第08/041,796號之接續案,該案係為1991年6月27日 之案號第0"7/722,340號之接續案。 (b) 由麥克萊斯等人申請於1995年6月27日案號第 08/503,467號,其係為申請於1993年十月15日案號第 08/138,060號之分割案。 (c) 由肯尼·柯林等人申請於1996年2月2曰案號第 08/597,577號,其係為申請於1995年八月31日之第 08/521,668號(現已放棄)之部份接續案,該案係為申請於 1994年八月1 1日之第G8/289,336號之部份接續案該案 .— ---- ---- 本紙張尺度·巾暇W(cnS)X^7~〇x 297^--- f靖先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央樣隼局貝工消費合作社印聚 A7 B7 經濟部中央標挲局員工消费合作社印製 第6頁 五、發明説明() 為1992年十二月1曰申請案之第〇7/984, 045號之接續案 (現已放棄)。另外,由肯尼.柯林等人申請於1996年5 月13日之第08/648 256號名為"一具高分子硬化前驅物材 料之受熱源之電漿"揭示相關主題。 發明背景: 於一電漿處理室中,特別是於高密度電漿處理室中, RF(射頻)t力係被用以產生並維持一電漿於該處理室 中。如於上述申請案中所詳細揭示,經常需要控制在處理 室中之表面之溫度,而無關於由處理條件所施加至時變加 熱負載’或者其他時變邊界條件。於一些例子中,窗口/ 電極係半導體材料,其可能需要控制窗口/電極之溫度在一 溫度範团内,以獲得窗口之適當電氣特性。即,對於亩口 /電極同時操作以成為窗口及電極,電氣電阻率是半導體溫 度之函數,以及窗口 /電極之溫度係較佳操作於一溫度範圍 之内。RF電力之施加以產生並維持電漿,導致在室内之 表面之加熱,包含窗口(例如用於電感或電磁耦合rf或微 波電力)或電極(例如用以電容或靜電耦合RF電力或终結 或提供一接地或返回路徑,用於此電容 電力)或用以窗口 /電極之組合。對這些表面之二可之能了 相⑽電子由激勵種類之發 T光之吸收,3)由電磁或靜電場之直接電力吸收,4)由在 室内之其他表面之輕射,5)傳導(典型於低中性氣壓之小作 用),幻對流(典型於低質流速之小作用),7)化學反應(即由 表紙張尺度適用中國國家標準(CNS ) Λ4坭格(2丨〇x297々y {請先閲讀背面之注意事項再填寫本頁)
•IT 五、發明説明( A7 B7 經濟部中央樣隼局負工消費合作社印裝 於與電漿中之反應種類反應於窗口或電極表面 決取於被以電漿處理室所執行之處理,於某些部份之 操作處理或處理順序中,其必須加熱窗口或電極至一溫度 上,在該溫度上窗口或電極將由於内表面之熱而到達上述 處理溫度,或者,其將需要冷卻窗口或電極至一低於該溫 度疋溫度,使得窗口或電極將由於内表面之熱而到達處理 溫度•於一些例子中,用耦合熱至窗口或電極之方法及用 以耦合熱至窗口或電極外之方法係有需要的β 用以由處理室外加熱窗口 /電極之方法包含如下: 1.藉由一外輻射源(例如,一燈或輻射加熱器,或電感 加熱源)來加熱該窗口 /電極, 藉由外部對流熱源(即為輻射,傳導或對流所加熱之 受力流醴),來加熱窗口 /電極, 3.藉由外部傳導(即電阻加熱器)來加熱窗口/電極· 前述加熱方法沒有冷卻機構,限制為窗口或電極操作 可用之溫度範面至較由於單獨内部加熱源所加熱窗口或 電極所能到達之溫度為高。 由處理室外冷卻窗口 /電極之方法包含如下: 1.藉由輻射冷卻窗口 /電極至一更冷外表面, 2·藉由外對流源來冷卻窗口 /電極(即,中性或被受力), 又 3.藉由傳導至外部教熱器,而冷卻窗口 /電極。 前述之冷卻材料,在不使用内部熱源以外之加熱機 構,限制了窗口或電極操作可用之溫度範困至低於由於單 第7頁 本纸張尺度適用中國國家標準(CNS ) Λ4%袼(2丨0Χ297公处 {請先閑讀背面之注意事項再填寫本頁) 訂 • 1^1 n nf 經濟部中央樣準局員工消費合作社印裝 A7 _________ B7 ____ 五、發明説明() 獨内部熱源之窗口或電極能到達之溫度。 其他之前述冷卻方法有以下之問題: 1·藉由輻射冷卻窗口 /電極是被限制至低熱傳送速率 (其於很多例子對於窗口或電極所需係不足的,及對於窗 口或電極之内部加熱速率也是不足),於低溫時,由於τ4 取決於輻射功率,其中τ是表面輻射或吸收熱之絕對溫度 (克耳文溫度); 2. 藉由外部對流源來冷卻窗口 /電極可以藉由使用高 熱傳導率及密度及特定熱之高成長之液髏來提供大熱傳 送速率,但,液體對流冷卻有以下之問題: A) 其被限制至為液雅氣相壓力相關之最大操作溫度 (即沸點)(除非一相改變被允許,其具有本身之問題,即, 相改變之固定溫度-無控制範圍及安全事項), B) 冷卻液體不配合電氣環境,並取決於液誼電氣特 性, C) 產生液體與反應器結構元件接觸之整體問題.藉由 一外部對流源(例如一冷卻氣體)來冷卻窗口或電極係被限 制於低熱傳送速率,於很多例子中,對於窗口或電極所需 之溫度範圍係不足的,及内部加熱窗口或電極之速率; 3. 藉由傳導來冷卻窗口 /電極至一外部散熱器可以提 供較高之熱傳送速率,若於窗口或電極及散熱器間之接觸 電極係足夠的低,但低接觸電阻於實際上係很難獲得。 由處理室外加熱及冷卻窗口 /電極包含藉由一外部傳 導源(即電阻加熱器)來加熱窗口 /電極,並配合藉由傳導至 _______ 第β頁 本紙張尺度通用中國國家標準(CNS )Λ4規格 〇x 297^J^ — (請先閲讀背面之注意事項再填寫本頁) • ^HB—···· i tT,n 1^1 111 ^^1 n HI I I Inf I n nn ml 11^-- .1 . 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明() ' 一外部散熱器來冷卻窗口 /電極。於一實施例中,該結構係 如下:一窗口或電極,具有一加熱板(一板内藏有電阻加 熱器)鄭接窗口電極之外表面。另外,—散熱器(典型地液 «I冷卻)係放置由窗口或電極接近加熱板之相對面。接觸 電阻是出現於窗口或電極及加熱板間之接觸阻抗,及加熱 板及散熱器之間❶於此一整合有窗口或電極溫度之自動控 制之系統中’一對予以控制之窗口或電極之溫度量測被完 成(連續或週期地),該溫度量測係相較於一設定點溫度, 並基於量測及設定點溫度間之差,一控制器經由一控制演 繹法,決定多少電力被施加至電阻加熱器,或者,多少冷 卻被施加至散熱器,及控制器命令輸出換能器,以輸出決 定之溫度或冷卻位準。該程序被重覆(持續或週期地)直到 部份程度之窗口或電極溫度收斂至已發生之設定贴溢 度’以及該控制系統保持動作狀態,以反應加熱或冷卻位 準需求之改變,該改變係由於内部加熱或冷卻位準之變 化,或者,於該設定點溫度之變化.除了接觸電阻問題外, 其限制系統之冷卻能力’以控制窗口或電極之温度,該系 統於由窗口或電極傳送熱量至散熱器展現一時間差,當内 部加熱或於電漿反應器操作時,冷卻負載改變時。這是部 份.由於於窗口或電極及加熱器間之接觸電阻,及於加熱器 及散熱器間之接觸電阻,以及,加熱器與窗口或電極間之 熱電容器。例如,當内部熱負載於一處理或程序中増加 時’系統藉由量測於窗口或電極溫度上之增加而感應到增 加。如上所述’系統反應於窗口或電極溫度之增加,而降 __ 第9貫 本纸張尺度適用中國國家標準(CNS〉Λ4規# ( 210X297公----- (請先閱讀背面之注意事項再填寫本I) 訂 線 經濟部中央搮準局負工消費合作社印裝 A7 B7 五、發明説明() 低加熱器電力或增加冷卻電力’但其中對於加熱器有一延 後時間,以擴散熱至窗口或電極,穿過於窗口或電極及加 熱器間之接觸電阻’經加熱器板,通過於加熱器及散熱器 間之接觸電阻•另外過量"熱能"儲存"於加熱器中,擴 散穿過於加熱器及散熱器間之接觸電阻•這延後造成於控 制窗口或電極溫度之困難度,當内部加熱或冷卻負載改變 時,典型地,導致窗口或電極之溫度振盪於該設定點。 一窗口或窗口 /電極類型(該類型允許電磁或電感RF 或微波電力由室外經窗口或窗口 /電極耦合至室内)之另一 問題是熱傳送設備出現(加熱器及/或散熱器)干擾了此電 磁或電感RF或微波電力之耦合,及/或RF或微波電力耦 合設備可能干擾於加熱器及/或教熱器及窗口或窗口 /電極 間之熱傳送。 因此,一種用以加熱及/或冷卻用於一電漿處理室中 之一窗口或電極或窗口電極之方法被找到,以使得窗口或 電極或窗口 /電極之溫度可以被足夠地控制,以接近一設定 點,使得一想要處理或處理順序可以在該電漿處理室内執 行,而無關於在室内之内部加熱或冷卻負載之改變或者其 他邊界條件之改變。 另外,一種用以加熱及/或冷卻用於一電漿處理室之 一窗口或窗口 /電極之方法被找到,以使得窗口或電極或窗 口 /電極之溫度可以足夠地被控制,以接近一設定點,而不 干擾經由窗口或窗口 /電極之電磁或電感或微波電力耦 合,使得一想要處理或處理順序可以被執行在該電漿處理 _____- _第 10育____-
本紙張尺度適财關家料(CNS ) Λ视彳M (婧先閱讀背面之注意事項再填寫本頁) -訂 線 經濟部中失橾隼局負工消費合作社印裝 A7 B7_________ 五、發明説明() 室内,而無關於在室内之内部加熱或冷卻負載之變化或於 其他邊界條件之改變β 另外,一種用以加熱及/或冷卻用於一電漿處理室之 一窗口或窗口 /電極之方法被找到,以使得窗口或電極或窗 口 /電極之溫度可以足夠地被控制,以接近一設定點,而不 干擾RF電力之電容或靜電耦合,或干擾终端或提供一接 地或返回徑給RF電力之此電容或靜電耦合,使得一想要 處理或處理順序可以被執行在該電漿處理室内,而無關於 在室内之内部加熱或冷卻負載之變化或於其他邊界條件 之改變。 另外,一種用以加熱及/或冷卻用於一電漿處理室之 一窗口或窗口 /電極之方法被找到,以使得窗口或電極或窗 口’電極之溫度可以足夠地被控制,以接近一設定點,而不 干擾RF電力之電容或靜電耦合,或干擾终端或提供一接 地或返回徑給RF電力之此電容或靜電耦合,並不干擾電 磁或電感RF或微波電力經由窗口或窗口 /電極之耦合,使 得想要處理或處理順序可以被執行在該電漿處理室 内,而無關於在室内之内部加熱或冷卻負載之變化或於其 他邊界條件之改變β 發明目的:a #邛. 一依據本發明之第一態樣,—具有室用以包含一電衆及 -通路相通於該室之電漿反應器係被以一第一可移除電 漿束缚磁鐵㈣模组加以加強,該模組係被放置接近該通 本紙張尺度適辟(CNS)崎 2 丨 ---- (請先閱讀背面之注意事項再填寫本頁)
kl B7 經濟部中央橾準局負工消費合作社印裝 五、發明説明( 路,該模組包含-第-模組外殼及_第一電浆束缚磁鐵在 該外殼内。其更包含-第二可移去電漿束缚磁鐵襯墊模 组’其被放置接近該通路’並具有一第二模組外殼及一 第二電漿束缚磁鐵。較佳地’該第一及第二模组係位於通 路之相對側。再者,第一及第二電漿束缚磁鐵具有磁方 位,其相對於電漿傳送或經由該通路之洩漏。較佳地該 模组外殼具有一相當非磁導熱體,例如銘,並與該室體作 熱接觸。冷卻裝置可以熱耦合至該室主體,藉以保持第一 電漿束缚磁鐵低於其居里溫度。若反應器包含一泵環帶接 近室之周圍,則通路可以是相通於室及栗環帶者。同時, 若通路可以是一晶圓狹缝閥或一氣體饋入口。此一氣體饋 入口可以是-經由室天花板之中心氣體锖入。該模組外毅 可以停放在室側壁上及室天花板可以停放在模组外殼 上。 較佳地,模组外殼包含―肖口,該第一磁鐵係位於開 口内,該模组外殼更包含一結合層,附著該第一磁鐵至在 開口内之内表面,以及,一蓋板復蓋該開σ,以密封該在 第-模组外殼中之第-磁鐵…熔接結合係較佳地形成在 蓋板及第一模组外殼之間,藉由Ε束熔接,雷射熔接等· 依據本發明之第二態樣,一電漿反應器包含一反應器 室密封’纟包含-天花板’多數半導體冷卻環停放在天花 板之上及一重疊於該多數半導體冷卻環之冷卻板係加 強,以一彈性可變形熱傳導層於多數半導體冷卻環之每一 個及冷卻板例如Grafoil之間。另外,一 RF反射器塗覆可 --------第 12ΊΓ 本纸張尺度適用中國國家標準(CNS ) λ4規格(2丨0Χ 297^Γ (請先閱讀背面之注f項再填寫本頁) 訂 經濟部中央樣率局員工消f合作社印装 A7 B7 ____ 五、發明説明() 以放置於彈性可變形熱傳導層及冷卻板之間。該RF反射 器塗覆較佳地熱傳導並可以是一鋁並防止RF電力分接至 冷卻板。 依據本發明之第三態樣,一電漿反應器包含一反應器 室,一靜電夾盤,用以支持一工件在室内,及多數半導《I 接地插銷延伸經靜電夾盤向夾盤能支持工件之平面,該插 銷可移動於一插銷可以接觸之延伸位置輿一取回位置之 問。其可以更包含框架支持該等插銷,該框架可連接至一 接地電位’以及,一致動器用以移動該框架,藉以傳送該 等插銷至於延伸及取回位置之間。插销可以例如是梦或碳 化矽。 依據本發明之另一態樣,一半導體軸環可以形成於靜 電夾盤之周圍。該半導禮轴環可以是碳化矽,其藉由化學 氣相沉積於靜電夾盤之周圍而形成β另外,該反應器更包 含一受熱半導體環圍繞該靜電夹盤。該受熱半導體環可以 具有一徑向狹縫,以允許受熱之半導體環之熱量擴散。 依據本發明之另一態樣,一電漿反應器包含一電感天 線,其包含多數堆疊線圈繞组,每一線圈繞组大致内藏於 相關多數平行面之一中,於相鄰平面中之繞组係連接於共 通至所有平面之一步險區域中’其中,每一繞组由一平面 轉換至下-平面,該線图繞组係由單一導體形成該導體 具有-對連接部,第-連接部由竣组之最上方延伸及第二 端由繞組之最下端延伸’第一連接部由最上繞組向上延 伸,第二連接部沿著-孤形路徑,由最下繞组向上至最上 本紙張尺度適财關家縣(CNS ) Λ4· ( —------ -----Ί、---*--t------訂------線 (請先閲讀背面之注項再填寫本頁) A7 B7 五、發明説明( 繞組,以便提供有赴4 1双敷量义堆疊繞组,其沿著步階區域 大致呈均勻的。 係 經濟部中央樣率局貝工消費合作社印聚 圖式簡單說明: 第1圈為用於共同申請中之美國專利案之類型之電感耦合 電漿反應器之侧面剖面圈,該系統被指為使用大致 平面天線。 第2圖為以公分為單位之電漿中感應場皮膚孕度之對數 圈’及電子對中性彈性碰撞平均自由路徑長度(虚 線)對以托耳為單位之壓力(水平轴)之函數。 第3A圈為相對於第丨圖中反應器中之工件中心之徑向位 置之電聚離予密度,於其中工件至天花板之高度為 4吋’標示為八及8之曲線相當於分別由外及内線 圏天線所產生之電漿離子密度。 第3B圖為相對於第丨圈中反應器中之工件中心之徑向位 置之電漿離子密度,於其中工件至天花板之高度為 3吋,標示為a及B之曲線相當於分別由外及内線 圈天線所產生之電漿離子密度。 第3C圖為相對於第i圖中反應器中之工件中心之徑向位 罝之電漿離子密度,於其中工件至天花板之高度為 2.5吋,標示為a及B之曲線相當於分別由外及内 線圈天線所產生之電漿離子密度。 第3D圖為相對於第1圖中反應器中之工件中心之徑向位 置之電漿離子密度’於其中工件至天花板之高度為 本紙張尺度適用中國國家標率(CNS ) Λ4規格(2丨0/297公郑 (請先閲讀背面之注意事項再填寫本頁) ,^2- 訂 線, A7 ___—_ B7 __ 五、發明説明() K25吋’標示為A及B之曲線相當於分別由外及 内線圈天線所產生之電漿離子密度。 第3E圖為相對於第1圖中反應器中之工件中心之徑向位 置之電漿離子密度,於其中工件至天花板之高度為 0 8吁,標示為A及B之曲線相當於分別由外及内 線圏天線所產生之電漿離子密度。 第4A圖為使用一單三維中心非平面螺管線繞组之電漿反 應器之W剖面視圖。 第4B圖為第4A圖之反應器之一部份放大圈,其示出一較 佳燒螺線管繞组之方式。 第4C圈為相當於第4A圈之電漿反應器之剖面圖,但具有 圓頂形天花板。 第4D圈為相當於第4A圖之電漿反應器之剖面圓,但具有 圓錐形天花板》 第4E圖為相當於第4d圖之電漿反應器之刦面圈,但具有 圓頂形天花板。 第5圖為使用内及外垂直螵線管繞组之電漿反應器之剖面 圖。 經濟部中央標準局貝工消費合作社印製 第6圖相當於第5圈之電漿反應器之剖面圖,其中外繞組 是平。 第7A圖相當於第4圖中之電漿反應器之剖面固,其中, 中心螺線管包含多數直立圓柱繞组。 第7B圖是第7A囷之第一實施例之詳細視圖。 第7C圖之第7A®之第二實施例之詳細视圖。 ____ _________第15頁 本紙張尺度適用中國國家標準(CNS ) Λ4规彳Μ 210X 297公1ΪΠ ~ 五、 發明説明() A7 B7 經濟部中央樣隼局員工消費合作社印製 第8圈相當於第5圖中之電漿反應器之剖面圖,其中,内 及外燒组包含多數直立圓柱繞組。 第9圖相當於第5困中之電漿反應器之剖面圈,其中,内 繞組包含多數直立圓柱繞组及外繞组包含一單— 直立圓柱繞紐。 第10圖是電漿反應器之剖面圖,其中,一單一螺線管繞 组是放置在用於最大電漿離子密度均勻之最佳原 子困位置· 第11圈相當於第4圖中之電漿反應器之刻面圈,其中, 中心螺線管繞组是一倒立錐形。 第12圖相當於第4圈中之電漿反應器之剖面圈,其中, 樣線管繞组是一直立圓錐型。 第13圈為一電漿反應器之剖面圈,其中螺線管繞组包含 一内直立圓柱部份及外平坦部9 第14圈相當於第1〇圖中之電漿反應器之剖面圖,其中, 線管繞組包含一倒立圓錐部及一平坦部β 第15圖相當於第12圖中之電漿反應器之剖面囷’其中, 樣線管繞組包含一直立圓錐部及一平坦部。 第Ιό圈為一平坦,錐型及圓頂天花板元件之組合。 第17Α圈例示一分離偏斜矽側壁及天花板並使用電加熱 器 (請先閲讀背面之注意事項再填涔本頁) 第 17Β例示一分離偏斜内及外矽天花板部及使用電加熱 器 第1 8圖為例示電漿反應器之第一實施例之剖面圖,其具
SUSSL 本紙張尺度適用中國國家標準(CNS ) Λ4規枋(210X297公i A7 B7 五'發明説明() 有一導熱氣體界面於第5圖之每一面導熱環面中。 第19圈為例示電漿反應器之第二實施例之剖面圖,其| 有一導熱氣體界面於與半導鳢窗口電極一想成$ 之導熱環面之一面中· 第20圖為例示電漿反應器之第三實施例之剖面圖,其| 有一導熱固體界面於第5圈之每一面熱導環面中。 第21圖為例示電漿反應器之第四實施例之剖面圈,其具 有一熱導固《界面於與半導體窗口電極一雔成型 之導熱環面之一面中· 第22圈為例示電漿反應器之第五實施例之剖面圈,其中 第5圖之可棄含矽環係被一冷卻板所冷卻,以一導 熱氣體界面於冷卻板與可棄矽環之間。 第23圖為電漿反應器之第六實施例之剖面圈,其中第5 圈之可棄含矽環係被一冷卻板所冷卻’以一導熱固 體界面材料於冷卻板及可棄矽環之間。 第24囷為電漿反應器之第七實施例之剖面圖,其中室壁 及内部室襯墊係使用導熱氣體於導熱路徑間之界 面中而冷卻。 第25圖例示第24圈之實施例之修改,其中掛界面被填以 一固態導熱層而不是導熱氣體。 第26圖例示第22圖之實施例,其中環係靜電地夾住,以 密封導熱氣髏。 第27圖例示出一電漿反應器,其實現不同態樣之電漿反 應器,其包含模組化電漿束缚磁鐵襯墊。 本紙張尺度適用中國國家榡丰(> Λ4坭枯(210X29*7公筇 ί靖先開續背面之注意事項再填35本頁) -訂 經濟部中央標準局貝工消费合作社印製 A7 B7 經濟部中央樣準局員工消費合作社印裝 五、發明说明() 1 1 第 28圖為一模組電漿束缚磁鐵椒墊之一部份放大圖 ,其 1 1 I 例示一磁鐵係被如何密封於襯墊中β 1 1 第 29圈列示用於第 27 囷之反應器之受熱矽環,該環具有 先 1 •1 一狹缝貫穿其中 ’以允許熱擴散。 閲 讀 背 之 1 I 第 3 0圈例示用於第 27 圈之反應器之電感天線,具有 一勻 ,! 1 勻有效繞组繞於其方位角 旁。 注 | 1 1 第 3 1 Α至3 1 Ε圖例 示對於用於第 27圈之反應器中之電漿 項 再 填 η 本 頁 1 I 束缚磁鐵對之不同磁定向 〇 1 丄 1 I ffl號對照說明= 1 1 I 10 反應器 12 天花板 1 1 14 室壁 16 托架 1 訂 18 晶圓 20 線圏 1 I 22 電源 40 反應室 1 1 42 螺線管 44 繞组 1 I 46 中心私 50 側壁 1 竦 1 52 圓形天花板 54 托架 56 工件 58 通道 1 | 60 泵環帶 62 平面環 1 | 64.ia中心氣想镇送 徑向氣艙锖送 1 1 66 外殼 68 射頻電源 70 偏壓射頻電源 72 輻射加熱器 1 | 74 水冷冷卻板 75 導熱環面 1 1 77 加熱器 78 石英窗口 1 1 I 第18貫 1 1 1 本紙張尺度適用中國國家標準(CNS ) Λ4現祜(2丨〇χ 29*7公你) 經濟部中央樣隼局员工消費合作社印製 A 7 _________ B7 五、發明説明() 79 溫度感應器 80 電漿束缚磁鐵 82 電漿束缚磁鐵 90 外螺線管 96 電漿.源射頻電源 97b 電源分離器 100 平面繞組 102 單繞螺線管 104 繞组 106 雙繞螺線管 110 外雙繞螺線管 112 —般螺線管 120 平面樣旋绝组 200 外環帶 205 射頻電源供鈐 210 射頻源 212 射頻源 214 偏壓電源 216 偏壓電源 218 溫度控制器 220 燈電源 222 控制器 224 加熱電源 300 控制器 1000 歧管 1010 氣體源 1020 氣體孔 1030 界面 1040 袖向通道 1050 界面 1070 0型環 1080 〇型環 1085 導熱固態界面材料層 1090 導熱固態界面材料層 1110 内冷卻劑管 1120 冷卻劑循環泵 1130 界面 1140 導熱固態材料層 1150 歧管 1160 導熱氣體源 1170 0型環 1210 冷卻板 1220 冷卻劑管 1230 冷卻劑泵 1240 界面 1245 歧管 1250 氣體源 1260 0型環 (請先閲讀背面之注意事項再填寫本頁) -A. 訂
第19T 本紙張尺度適用中國國家標準(CNS ) /\4規格(210X 297公垃) A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明() 1300 室襯墊 1310 界面 1320 氣體通道 1370 0型環 1380 層· 1410 介電質層 1412 通道 2010 概整模组 2012 射頻墊圏 2014 0型環 2016 射頻墊圈 2018 0型環 2020 襯墊模组 2030 凹陷處 2040 結合層 2050 鋁蓋部 2070 冷卻劑通道 2080 固定器 2082 晶圊狹缝閥 2084 電漿束缚磁鐵 2086 電漿束缚磁鐵 2088 電漿束缚磁鐵 2090 電漿束缚磁鐵 2092 中心氣體饋送 2094 襯墊模组 2096 電漿束缚磁鐵 3010 導熱層 3020 導電層 3040 上組件 3050 下组件 4010 半導體提升銷 4020 提升座 4030 致動器 4050 抽圈 4060 徑向狹缝 5010 平面圓形繞组 5020 上升端 5040 下降端 5 060 步階 5065 單導體 5070 底返回腳 發明詳細說明: 於具有一小天線至工件間隙之電漿反應器中,為了減 _第20頁 本紙張尺度適用中國國家標準(CNS ) Λ4規袼(210X 297公筇) -----,1-----.------訂------線- (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 ________B7 五、發明说明() 少於工件中心區域之電漿密度之下降,相當於電感天線圖 案中心零’本發明之一目的係增加感應於接近中心區域中 之電場之大小。本發明藉由同心困繞一電感線圈圈轉重疊 接近天線對稱抽之天花板及加大於天線及於中心區域中 電漿間之磁通量連通之改變數速率(於RF源頻率)而完 成。 依據本發明,一繞於對稱軸之螺線管線圏同時地對中 其電感線圈圈轉於接近該抽,並加大於天線及於中心區域 接近工件電漿間之磁通量變化速率•這是因為需要強通量 連結及閉合互相耦合至中心區域中之電漿時,圈轉數較大 而線圈半徑較小。(相反地,一傳統平面線圈天線散播其 感應場於一宽徑向區域,推其徑向電力分佈向外至周邊。) 如同於本說明書所了解的,一螺線管狀天線是一天線,其 具有多數電感元件,被分配於相對於工件或工件支撐面或 重疊室天花板之平面呈不平面方式,或者是橫向於工件支 撐面分隔於不同距離(其係為在室中之工件支撐托架所定 義)或者橫向分隔於對於重疊室天花板橫於不同距離。如 於本說明書中所了解,一電感元件是一載流元件互相電感 耗合於室中之電漿及/或與天線之其他電感元件β 本發明之較佳實施例包含雙螺線管線圏天線,其有一 螺線管接近中心及另一螺線管於外周邊半徑。該兩螺線管 可以以不同RF頻率或相同頻率加以堪動,於其中,較佳 係相位鎖定並較佳以相同方式相位鎖定,其場結構性相互 作用。於内及外螺線管間之最大實際位移是較佳的,因為 ____ 第21頁 本紙張尺度適;家料(CNS) (21GX297公势) — {請先閱讀背面之注意Ϋ項再填寫本頁)
•IT 線 經濟部中央橾準局員工消费合作社印裂 A7 _______B7 五、發明説明() 其提供相對於工件中心及工件圓周相對之最多功能控制 於蝕刻速率。熟習於本技藝者可以迅速地改變RF電力, 室壓力及處理氣tt混合物之負電性(藉由選擇分子及惰性 氣II之適當比例),以獲得一寬範困或處理窗口,以最佳 化(使用電漿反應器)於整個工件之蝕刻速率之徑向均勻 性。較佳實施例之分開内及外螺線管間之間距提供以下優 點: 1) 最大均勻控制及調整; 2) 於内及外螺線管間之最大絕緣,防止一螺線管與另 一螺線管之場干援;及 3) 用於溫度控制元件之天花板上之最大間距(於内及 外螺線管),以最佳化天花板溫度控制。 第4A圈例示一電感耦合RF電漿反應器之單一螺線 管實施例(非較佳實施例),其具有一短工件至天花板間 味,表市感應場之皮廣深度是如同間隙長度。如於本說明 書中所知,一皮膚深度是在間隙長度之十之因素中(即約 十至十倍之間)β 第5圖例示一電感耦合RF電漿反應器之雙螺線管實 施例’並為本發明之較佳實施例。& 了雙螺線管特性外, 第4A及5圖中之實施例之反應器結構是幾乎相同,並將 參考第4A圈加以說明·反應器包含一圓柱室4〇 ,其類似 於第1圖者,除了第4八圈中之反應器具有一非平面線圈 天線42,其繞組44係密切地同心於非平面方式,接近天 線對稱軸46。雖然於所示之實施例中繞组44係對稱及 本紙張尺度適财關家轉(CNS ) ----- (請先閲讀背面之注意事項再填寫本頁) 訂 "-線 經濟部中央標準局貝工消費合作社印聚 A7 B7 五、發明説明() 其對稱軸46係重合於室之中心軸,但本發明可以不同方 式執行。例如,繞組可以不對稱及/或其對稱軸可以不重 合。然而,於一對稱天線中,天線具有一輻射圈案零接近 其對稱轴46,重合室之中心或工件中心·將繞组44之濃 度接近中心軸46補偾了該零並係藉由垂直堆疊繞组44呈 一螺線管之方式而完成,使得其每一具有離開室中心軸46 一最小距離。這增加接近室中心轴46之電流(I)及線圈圈 轉(N)之乘積,室中心之電聚離子密度對於短工件至天花 板高度具有最弱,如同以上參考第3D及3E圖所探討的。 結果,施加至非平面線圈天線42之RF電力產生最大感應 [d/dt][N . I】於晶圓中心--於天線對稱軸46--(相對於圓周 區域)’因此,於該區域產生較大之電漿離子密度,雖然 小工件至天花板高度,但使得所得電漿離子密度是更均 勻。因此,本發明提供一重用以降低天花板之方式,於加 強電漿處理效能中而不會犧牲處理之均勻性。 第4B圈示出於第4A及5圖之實施例中之繞组之實 施。為了繞组44至少接近平行於工件56之平面,較佳地 其不被繞成螺旋狀,而較佳地被繞成使得每一個別圈轉係 平行工件56之(水平)平面,除了於圏轉間之步階或轉換外 (由一水平面至下一水平面)。 圓柱室40包含一圓柱側壁50及一圓形天花板52與 該側壁50 —體成型,使得側壁5〇及天花板52構成單件 材料,例如矽》然而,本發明可以被以側壁5 0及天花板 52分離元件構成,這將如說明書以後所說明者.圓天花板 __ 第 23Τ 本紙張尺度適用中國國家標準(CNS ) Λ4規格(21〇Χ 297公 (請先閱讀背面之注意事項再填寫本頁) •订 經濟部中央樣準局貝工消費合作社印装 A7 B7 五、發明説明() 52可以是任何合適剖面型例如平面(第4A圈),圓頂(第4C 圖),圓錐(第4D圖)’截斷圓錐(第4E圖),圓柱或任何此 等形狀之_合或旋轉之曲線。此一组合將於以下說明書中 說明。一般而言,螺線管42之垂直間距(即為水平寬度除 垂直高度)超出天花板52之垂直間距,即使天花板定義3 度空問表面,例如,圓頂,圓錐,截斷圓錐等。至少於較 佳實施例中’其目的是如說明書中先前所說明地集中天線 之感應接近天線對稱轴•一具有節距超出天花皮之節距之 樣線管被稱為一非保角琢線管’表示一般而言,其外形並 不配合天花板之形狀’更明白地說,其垂直間距超出天花 板之垂直節距。一二維或平天花板具有零垂直間距,而3 維天花板具有非零垂直間距。 於室40底部之托架54於處理時,支播一平面工件於 工件支撐面上。工件56典型地是一半導體晶圓及工件支 撐面大玫是晶圓或工件56之平面。室40係被泵(未示於 圖中)經由一環形路徑58所抽真空至一面繞室40下部之 泵環帶60。泵環帶之内部被椒整以一可替換金屬椒整 60a。環形路徑58係被圓柱側壁50之底邊50a及团繞托 架54之平面環62所定義•處理氣體係經由各種氣髏饋送 之一或全部所送入室40中·為了控制接近工件中心之處 理氣體流量,中心氣II饋送64a可以向下延伸經天花板52 之中心向工件56之中心(或工件支撐面之中心)。為了控制 接近工件圓周之氣體流(或接近工件支撐面之圓周),多數 可以為中心氣體饋送64a所個別控制之徑向氣體饋送64b 第24頁 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X29?公筇) (請先閱讀背面之注意事項再填寫本頁) 訂
五、發明説明() 經濟部中央標隼局員工消费合作社印掣 由倒壁50徑向向内延伸至工件圓周(或向工件支撐面圓 周)’或基座軸氣體饋送64c向上延伸由接近托架54向工 件圓周,丰天花板軸向氣髖饋送64d可以由天花板52向 下延伸向工件圓周。於工件中心及圓周之蝕刻率可以個別 相對彼此調整,以完成於工件之更徑向均勻之蝕刻率分 配’這是藉由控制處理氣體分別經中心氣體饋送64a及任 一外氣鳢饋送64b-d,而流向工件中心及圓周之流速。本 發明之此特性可以以中心氣雄嬪送64a及圓周氣鱧饋送 64b-d之一加以執行。 螺線管線圈天線42係繞於圍繞中心氣體饋送64之外 殼66中。一電漿源RF電源供應68係連接通過線圈天線 42及一偏壓RF電源供應70係連接至托架54 · 上方線圏天線42之束缚至天花板52之中心區域留下 了在天花板52上表面之大部份未被佔用,因此,可為溫 度控制設備直接接觸所用’該設備係例如包含多數輕射加 熱器72’例如由素钱絲燈及水冷卻板74’板係可以由銅 或&形成’並以冷卻劑通道74a貫穿於其中。較佳冷卻劑 通道74a包含一已知種類之冷卻劑,其具有一高導熱率但 低導電率,以避免對天線或線圈42形成電氣負載。冷卻 板74提供天花板52之定冷卻,同時輻射加熱器72之最 大功率係加以選擇,以於需要時,為冷卻板74所冷卻控 制反應及天花板52之穩定溫度控制。由加熱器72所輕射 之大天花板區域提供較大之均勻性及有效之溫度控制。 (應注意輻射熱於執行本發明時並不需要,熟習於本技
_____第25貫 欠祕.¾尺膚用中國國家棱進ί ΓΝίς、i 210X7q7y,\"iT (請先閲讀背面之注意事項再填寫本頁)
經濟部中央螵乘局貝工消費合作社印製 A7 B7 五、發明説明() 者可選擇以使用一電熱元件來替代,如同於說明書所後 述。)若如同由肯尼.柯林等人所申請於1996年2月2日 美國專利案號第08/597,577號所揭示,天花板52是梦, 則藉由增加於整個天花板之溫度控制之均勻性及效率,能 得到大量之優點〃明白地說,當一高分子前驅物及蝕刻前 堪物處理氣體(例如氟化碳氣鳢)被使用時,及想要驅氣蝕 刻劑(例如氟)時,高分子沉積於整個天花板52之速率及/ 或天花板52完全一氟蝕刻驅氣材料(矽)成為電漿之速率 較佳藉由增加天花板52輿溫度控制加熱器72之接觸面積 加以控制。螺線管天線42增加在天花板52之可用接觸面 積,因為螺線管繞组44係被集中在天花板52之中心軸· 用於熱接觸之於天花板52上之可用區域之增加,係 被高導熱環面75(由例如氮化鋁,氧化鋁或氮化矽之陶瓷 材料,或非陶瓷之矽或碳化矽被輕摻雜或未摻雜者所形成) 之所利用於較佳實施中,其底面停在天花板52上及上表 面支撐冷卻板74。環面75之特性是其偏移冷卻板74,該 冷卻板係在螺線管42之上方•這特性實際地緩和或接近 消除了於螺線管42及電漿間之電感耦合之降低,否則, 其將由冷卻板74之導電面接近螺線管42所造成。為了防 止於電感耦合之降低,較佳地於冷卻板74及螺線管42之 上繞组間之距離是至少一螺線管42之總高度之實質分數 (例如一半)。延伸穿過環面75之多數軸向孔75a係沿著兩 同心圓分隔,並夹持多數輻射加熱器或燈72並允許其直 接輻射天花板52»對於最大燈效率,孔内部表面可以被加 ___第26耳 本紙張尺度適用中國國家標準(CNsIa4坭格(210X 297公筇) (請先閲讀背面之注^^^項再填寫本頁) 訂 經濟部中央樣隼扃貝工消费合作社印裝 A7 __—___B7 五、發明説明() ' 一 ' 以一反射層(例如鋁)之襯墊•第4圖之中心氣體饋送64a 可以被以一輻射加熱器72(第5¾所示)加以替換,其係取 決於特定$應器設計及處理條件而定β天花板溫度係被例 如一延伸經未被燈加熱器72所佔用之孔75a之一之熱耦 76之感應器所感應到。對於良好熱接觸一高導熱萍性醴 73,例如被浸以氮化硼之矽橡膠者係被放置於陶瓷環面75 及天花板52之間,以及,於陶瓷環面75及矽天花板52 之間。 如同上述參考案所揭示,室4〇可以是全半導鳢室, 其中,天花板52及側壁50均為半導體材料,例如矽或碳 化矽。如於共同申請案所述,對一施加至天花板52或壁 50之RF偏壓電力之控制或其溫度,調整了其供給氟驅氣 前驅物材料(矽)至電漿之範圍,或者,其被塗復以高分子 之範圍。天花板52之材料並不限於矽,於其他方式中, 可以是碳化矽,二氧化矽(石英),氮化矽,氮化鋁或例如 氧化鋁之陶瓷材料β 如同上述之共同申請案所述,室壁或天花板50,52 並不需要被用成一氟驅氣材料源。相反地,一可棄式半導 體(例如矽或碳化矽)構件可以被放置於室40之中並被保 持於足夠高溫,以防止其上之高分子凝結並允許矽材料被 由其上除入電漿成為氟堪氣材料。於此例子中,壁及 天花板52並不見得必定是矽,或者當其是矽時它們可 以被保持於一接近或低於高分子凝結溫度(及/或一高分子 凝結RF偏壓臨限)之溫度(及/或RF偏壓),使得它們被塗 本紙張尺度顧(⑽)-— -- ^^1 nbr i 丨 · _ _ _ 户 ___ ------------.IT------線/ {請先閱讀背面之注意事項再填将本頁) 五、 發明説明( A7 B7 —^n n^i · 復以來"漿之高分子,以防止被消耗掉。雖然可棄式 矽構件可以是任何型式’於第4圖中之實施例中可棄式 碎構件是.包園住托架54之環62β較佳地,環㈣高純度 梦並可以被接雜,以改變其電或光學特性。為了保持梦環 Q於足狗之溫度以確保於電漿處理中之良好參與(例如梦 材料分佈至電漿中,用於氟驅氣),多數輻射源(例如鎢由 素燈)加熱器77被安排呈一圓型在環62之下,經由一石 英窗口 78加熱該矽環62。如同於共同申請案所述加熱 器77係依據被溫度感應器79所感應到之矽環62之溫度 加以控制,該感應器可以是一遥控感應器,例如,一光學 高溫計或一螢光探針·感應器79可以部份地延伸進入環, 62中之深孔62a中,孔之深度及窄度試著至少遮軍於矽環 62之熱發射性中與溫度有關之變數,使得其作用更像一灰 色體輻射源’用以更可靠地作溫度量測。 如同上述之美國申請案第〇8/5 97,577號案所述,一全 半導趙室之優點是電漿係不會接觸例如金屬之污染產生 材料。為此目的,接近環開口 58之電漿束缚磁鐵80,82 防止或降低電漿流入泵環帶60中·只要是任何高分子前 驅物及/或主動種類成功地進入泵環帶60中,如同上述之 共同申請案所揭示,藉由保持襯墊60a於一足夠低於高分 子凝結溫度’任何所得沉積於該可替換内襯墊60a上高分 子或污染物可以被防止再進入電漿室40。 一晶圓狹缝閥84經由泵環帶60之外壁收納晶圓入口 及出口。於室40及泵環帶60間之環開口 58係更接近晶 第28頁 本紙張尺度適用中國國家標率(CNS ) Λ4坭格(210X297公筇) (请先閱讀背面之注意事項再填寫本筲) ir -線 蛵濟部中央揉準局貝工消費合作社印裝 經濟部中央標率局員工消費合作社印製 A 7 ____B7 五、發明説明() 圓狹缝閥84及在相反側是最小,這是藉由圊柱側壁50之 底邊之斜面,以使得室壓力更對稱分配於一非對泵埠位 置β 接近室中心軸46之最大互感是藉由垂直堆疊螺線管 繞组44而完成。於第4圈之實施例中,在垂直堆疊繞组 44外及在底螺線管繞组44内之另一繞组45可以被加入, 假設該外加繞组45係接近底螺線管繞组44a。 明白地參考第5圈之較佳雙螺線管實施例,一外面位 置之第二外垂直堆疊或繞組92之螺線管90(即靠著導熱環 面75之外表面)係偏移開螺線管繞组44 一徑向距離δ r · 注意第5圖中’内螺線管天線42之限制至中心及外螺線 管天線120之限制至圓周保留了大部份之天花板52之上 部份’可直接接觸溫度控制設備72,74,75,如於第4 A 圖中所示β其優點是於天花板52及溫度控制設備間之大 表面積提供了更有效及更均勻之天花板52溫度控制。 對於一反應器,其中側壁及天花板係由一單片欢形 成’例如具有12.6吋(32公分)内徑,晶圓至天花板間昧是 3吋(7.5公分)’及内螺線管平徑直徑是3·75吋(9 3公分), 而外螺線管之平均直徑是11.75吋(29.3公分),使用3/16 直徑中間銅管,被覆以0_03寸厚鐵氟龍絕緣層,每一螺線 管包含四圈轉及1吋(2.54公分)高。外堆疊或螺線管12〇 被一第二獨立控制之電漿源RF電源供應96所激能。此目 的是允許不同使用者可選擇電漿源電力位準,以相對於工 件或晶圓56施加不同徑向位置’以允許補償於整個晶圓 ^ __*29肓 本紙張尺度適用中國國家標準(CNS ) Λ4^格(210 X 297^^1 ~—-- (請先閲讀背面之注意事項再填寫本頁) 訂 線 經濟部中央標2?-局負工消費合作社印裝 A7 _____B7_ 五、發明説明() 表面之已知處理非均勻性,這是一重要之優點。於组合獨 立可控制中心氣體饋送64a及周邊氣體饋送64b-d,於工 件中心之蝕刻效能可以被相對於邊緣之蝕刻效能作調 整,這是藉由相對於施加至外螺線管90之RF電力而調整 施加至内螺線管42之電力及藉由相對於經外氣體饋送 64b-d之流速而調整流經中心氣體饋送64a之流速。雖然, 電漿反應器解決或至少改善中心零之問題或於上述之感 應場之凹陷問題,但其中也可能由其他電漿處理非均勻性 問題出現’這可以藉由調整施加至内及外天線之相對RF 電力位準’而補償於第5囷之多功能實施例。為了以更方 便地完成此目的,用於内及外螺線管42, 90之相對RF電 源供應68,96可以被以一共同電源供應97a及一電源分 離器97b加以替換’分離器允許使用者改變於内及外螺線 管42 ’ 90間之相對分配,同時,保留於内及外螺線管42, 9〇場間之固定相位關係。這是特別重要,其中兩螺線管 42 ’ 90以相同頻率接收rf電力。否則,若兩獨立電源供 應68,96被使用,則其以不同頻率供電,較佳地,在每 一 RF電源供應68, 96之輸出安裝一 RF濾波器,以避免 於兩螺線管間耦合之偏離頻率回授。於此例中,頻率差應 足夠時間平均於兩螺線管間之耦合,並且,應超出RF濾 波之拒斥頻寬。一較佳模式是使得每一傾率獨立地振烫匹 配至相關螺線管’每一頻率可以改變以跟隨於電漿阻抗之 改變(藉以保持諧振)而代替傳統之阻抗匹配技術。換句話 說’當室中之電漿之阻抗被加載時,施加至天線之RF頻 (請先閲讀背面之注意事項再填寫本頁) 訂 線: A 7 ----------B7 五、發明説明() 率係作成跟隨天線之諧振頻率。於此實施中,兩螺線管之 頻率範圍應互相地排斥。於另一模式中,兩螺線管係以相 同RF頻率堪動,於此例中,較佳地’於兩樣線管間之相 位關係應造成兩螺線管場之交互作用或重疊。―般而言, 若兩螺線管以相同方式繞線,該需求將藉由於施加至兩螺 線管之信號間之零相位角而符合。否則,若相反繞線,相 位角較佳係180度,於任一例子中,於内及外螺線管間之 叙合可以藉由令内及外螺線管42, 90間之相章大空間而 減少或消除,如同於以下之說明所述β 由此等調整可得之範圍係藉由增加外螺線管9〇之半 徑而增加,以增加於内及外螺線管42,9〇間之間距,使 得兩螺線管42,90間之作用更被分別限制在工件中心及 邊緣。這允許較大範圍之控制,於重疊兩螺線管42, 9〇 之作用。例如,内螺線管42之半徑應不大於工件半徑之 一半及較佳地不大於其三分之一《(内螺線管42之最小半 徑是部份地為形成螺線管42導體之直徑及部份地需要提 供有限非零圓周用於一弧,例如圓電流路徑所影響,以產 生電感。)外線圏90之半徑至少應等於工件半徑,較佳地 係1.5或更多倍於工件半徑。於此一結構中,内及外螺線 管42, 90之相對中心及邊緣作用係被提出,使得藉由增 加至内螺線管之電力,室壓力可以被提供至幾百mT,同 時提供一均勻電漿,並藉由增加至外螺線管9()之電力, 室壓力可以被降低至0.01mT左右,同時,提供一均勻電 浆。此大外螺線管90半徑之另一侵點是其減少於内及外 本紙張尺度適用中國國家標率((:奶)/\14規格(210\ 297公兑) --- (請先閲讀背面之注意事項再填寫本頁
-、1T 線 經濟部中央橾隼局負工消費合作社印聚 經濟部中央標準局員工消費合作社印製 A7 --*-------B7 五、發明説明() 螺線管42,90間之耦合。 第5圈示出虚線之第三螺線管可以加以選用其係想 要用於一很大室直徑中β 第6圖例示第5圖之實施例之變化,其中,外螺線管 9〇係被一平面繞组1〇〇所替換^ 第7Α圖例示第4圖之實施例之變化,其中,中心螺 線管繞组不只包含繞组44之垂直堆疊42,也包含一第二 垂直堆疊42之繞組104,接近第一堆疊42,使得兩堆疊 構成一雙繞螺線管1〇6。參考第7Β圓,雙繞螺線管1〇6 可以包含兩獨立繞组單螺線管42, 1〇2,内螺線管42包含 繞组44a,44b,等及外螺線管102包含繞组1〇粍,1〇仆 等。或者,參考第7C圈,雙繞螺線管1〇6可以由至少一 接近共平面繞组之垂直堆疊對组成。於第7C圈之另一方 式中’每一對接近共平面繞组(例如對44a, 1〇4a或對44b, l〇4b)可以藉由螺旋繞一單導體形成。於此所使用之名稱" 雙繞"表示示於第7Β或7C圖所示之類型之繞组。另外, 螺線管繞組可以不只雙繞也可以是三重繞或更多,大致上 其包含於每一平面沿著對稱軸多數繞组。此多重繞螺線管 可以用於第5圈之雙螺線管實施例之内或外螺線管42, 9〇 之一或兩者。 第8圈例示7Α圈之實施例之變化,其中,一外雙繞 螺線管1 1 0係同心於内雙繞螺線管丨〇6,該外雙繞螺線管 11〇係放置離開該内螺線管106 一徑向距離 第9圖例示第8囷之實施例之變化,其中,外雙繞螺 _________ 第32頁 本紙張尺度適用中國國家標率(CNS ) /\4規格(210X 297公兑—*7一"" " "〜'- (請先閱讀背面之注意事項再填寫本頁) 、?τ -tdJ0 經濟部中央橾隼局貝工消t合作社印製 A7 B7 五、發明説明() ~ 一 '~' 線管110係被一 一般外螺線管112所替換,該外螺線管相 當於第5圖之實施例所使用之外螺線管。 第10圈例示另一較佳實施例,其中第5圈之螺線管 42係放置於一偏離中心氣髏饋送外殼66 一徑向距離5r 之位置•於第4圈之實施例中,5R是零,而於第1〇圖 之實施例中《5R是圓柱側壁50半徑之分數β増加例示於 第10圈之5R之範園可以有助於成為第4, 5,7及8圈 之實施例之變化,用以除了補償參考第3D及3Ε圖所述之 電漿離子密度中之一般中心凹陷外,也補償非均勻性。同 樣地’第10圖之實施例可以有用於故置螺線管42於雄開 室中心軸46 —最小距離(如第4圖所示)時,增加接近晶圓 56之中心之電漿離子密度,而過校正接近中心之電漿密度 之一般凹陷,及造成於電漿處理行為中之另一不均勻性。 於此例子中,第10圖之實施例係較佳的,其中,是 被選擇成最佳值,其提供於電漿離子密度中之最大均勻 性。理想上,5R是被選擇以避免欠校正及過校正於電漿 離子密度中之中心凹陷。用於(5R之最佳值之決定可以由 熟習於此技藝者以試誤法加以決定放置螺線管42於不同 半徑位置並於每一步驊中,使用傳统技術以決定電漿離子 密度之徑向分佈加以決定。 第11圖例示一實施例,其中,螺線管42具有一倒圓 錐形’而第12圓例示一實施例,其中螺線管42具有一直 立Η錐形。 第13圖例示一實施例,其中螺線管42是被組合以一 __ 第 33 貫____ 本紙張尺度適用中國國家標準(CNS ) Λ4坭格(210X297公筇) (請先閲讀背面之注意事項再填巧本頁} -訂 線! 經濟部中央橾準局貝工消費合作社印製 A7 ____B7 _ 五、發明説明() 〜 ' 平面螺旋繞組120。該平面螺旋繞组具有降低螺線管繞組 42猛烈集中感應場接近工件中心之情形,藉由分配—典 RF電力離中心遠些。這特性可以有用於需要避免過校正 感應場空之情形。此感應場離開中心之分散範圍相當於平 面螺旋繞組120之半徑。第14圈例示第13圈之實施例之 變化,其中,螺線管42具有—如第u圈所示之倒立圓錐 形。第15圖例示第13圖之實施例之另一變化,其中螺線 管42具有一如第12圖實施例所示之直立圓錐形。 在天花板52上之RF電位可以被增加,例如,以防 止高分子沉積於其上,藉由降低相對於室中之其他電極之 有效電容電極區域(例如工件及侧壁)。第16圈例示這係如 何藉由支撐一小面積版之天花板52,於外環帶2〇〇上加以 完成,該環帶與小面積天花板521絕緣。環帶2〇〇可以以 相同於天花板52,之材料(例如矽)形成,並可以是一截斷圓 錐形(如實線所示)或一中斷圓柱型(如於虚線所示。一分離 RF電源供應205可以連接至環帶2〇〇,以允許更多工件中 心對邊緣處理之調整。 第17A圖例示第5圈之實施例之變化,其中天花板 52及側壁50係分開之半導鳢(例如矽)片,彼此相互絕緣, 並具有分別控制RF偏壓電力位準由個別rf源2 1 〇 , 2 i 2 施加至其上,以加強相對於邊緣之_中心#刻率及選擇性 之控制。如於,由堪尼材林等人所申請於Η%年2月2 9之前述美國專利申請案第期97/577號中天花板52 可以是一被摻雜之半導體(例如矽)材料,使得其作動為一 本纸張尺度適财_家辟(CNS ) Λ復彳M--------- (請先閲讀背面之注意事項再填转本頁) -訂 五、發明説明() A7 B7 電極,電容性地耦合施加至其上之RF偏壓電力至室, 至螺線管42之RF電力之一窗口,該 並 經濟部中央標丰局負工消费合作社印製 同時作為經由施加 1 RF電力可以電感耦合至該室。此一窗口電極之優點是 RF電位可以直接建立於晶圓上(例如,用以控制離子能 量),同時,電感耦合RF電力直接在晶圓上•後者之特性 配合上個別控制内及外螺線管42,90及中心及圓周氣趙 饋送64a,64b大量地加強包含調整各種電漿參數之能力, 例如工件中心相對於工件邊緣之離子密度,離子能量,杜 刻速率及蝕刻選擇性,以完成最佳均勻性•於此纽合中, 經由個別氣體饋送之氣體流係個別分開控制,以完成電衆 處理參數之最佳均勻性。 第17A圖例示出燈加熱器72係如何地為電加熱器72, 所替換》如於第4圖之實施例所示,可棄式矽構件是一包 圍托架54之環62。較佳地’環62是一高純度碎及可以被 接雜以改變其電或光學特性。為了保持矽環62於足夠之 溫度,以確保其於電漿處理中之最佳參舆(例如,其矽材 料之分佈至電漿中,用以氟驅氣),多數輻射(例如鎢由素 燈)加熱器77安排呈圓形在環62之下,以經由石英窗口 78加熱矽環62»如於上述共同申請案中所述,加熱器77 係依據為溫度感應器79所感應之矽環62測得溫度加以控 制,該感應器可以是一遙控感應器,例如光焦電計或一螢 光探棒》感應器79可以部份地延伸進入於環62中之一很 孔62a’孔延伸之深度及窄度至少部份地遮罩於矽環Q 中<熱發射性中<與溫度無關之變數,使得其作動更像— 卜紙張尺度制家標準(CNS ) 第昍頁 (210x297公筇) {請先閱讀背面之注意事項再填巧本頁) ,J· 訂 .In 經濟部中央標率局負工消費合作社印裝 A7 B7五、發明説明() 黑體輻射器’用以更可靠之溫度量測。 第17B圈例示出另一變化,其中,天花板52本身可 以被區分為内碟5 2aA外環帶52b,其係彼此電氣絕緣, 並分別被褊壓一個別RF電源214 ’ 21 6,其可以是一單一 差分控制RF電源之分開論出· 依據另一實施例,一使用者可近接之示於第17A及 1 7B之中心控制器300 ’例如,一可程式電子控制器,例 如包含一傳統微處理機及記憶雅被連接至時時控制氣體 流速,其係經由中心周邊氣體饋送64a,64,施加至内及 外天線42’ 90之RF電聚源電位準及施加至天花板52及 側壁50之RF偏壓電位(於第17A圈)及施加至内及外天花 板部52a,52b之RF偏壓電源位準(於第17B圈),碎環62 之S度及天花板52之溫度。天花板温度控制器218管理 藉由一燈電源220所施加至燈加熱器72'之電力,其係藉 由比較由天花板溫度感應器76所量得之溫度與對於控制 器300為已知之想要溫度。一環溫度控制器222控制為加 熱電源224所加至加熱燈77之電力,該燈77面對梦環 62’該控制係藉由比較由環感應器79所量得之環溫度舆 為控制器222所知之儲存於想要環溫度。主控制器3〇〇管 理温度控制器21 8及222之想要溫度,螺線管電源68, 69 之RF電源位準,偏壓電源210,212(第17A圈)或214, 216(第17B圈)之RF電源位準,為RF電源7〇所施加之晶 圓偏恩位準及由各種氣髖供應(或分開閥)所供給之至氣禮 入水64a-d之氣體流速。控制晶圓偏壓位準之關鍵在於晶 第36貫 (請先閲讀背面之注意事項再填寫本頁) 訂 .Λ 本纸浪尺度適用中國國家標準(CNS ) A4«L播(210Χ297'»^ ) 經濟部中央樣準局貝工消費合作社印製 —— ____ B7 五、發明説明() 圓托架54及天花板52間之rf電位差。因此,托架RF 電源70及天花板RF電源212均可以簡單地短路至rf地 端。具有此一可規劃積體控制器,使用者可以容易地最佳 化RF源電力,RF偏塵電力,及於工件中心及圓周間之氣 體流速之最佳配置,以完成於整個工件表面之中心至圓周 處理均勻性(例如,蝕刻速率及蝕刻選擇性之均勻徑向分 伟)。同時,藉由相對於托架54及天花板52間之RF電力 差’調整經由控制器300之施加至螺線管42,90之RF電 力’使用者可以操作反應器’於一主要電感耦合模式或於 一主要電容耦合模式中· 雖然’各種連接第17A圖中之螺線管42, 90,天花 板52’側壁50(第17B圈中之内及外天花板部份52a, 52b) 之電源均動作於RF頻率,但本發明並未限制於任何特定 範圍之頻率’除了 RF外之頻率也可以加以被熟習於本技 藝者所選擇以執行本發明。 於一本發明之較佳實施例中,高導熱度墊圏75,天 花板52及側壁50係一鳢由單件多晶矽成型。 再次參考第5囷,一較佳電漿處理室包含一窗口 /電 極52。窗口 /電極52是由半導體材料製作,如於上述之申 請案所詳述’使得其可以作動為一窗口,以由一或多數外 (外室)天線或線圈作RF電磁或電感耦合至在室内之電 衆’以及’作為一電極,用以靜電或電容耦合RF電力至 在至内之電漿(或用以终止或提供一接地或返回路徑,用 於RF電力之電容或靜電耦合),或用以偏壓工件或晶圓。 ______ 第37貫 本紙張尺度適^>邮辟(別公兑Ί ~~--— -----1.--^---;------.1Τ------0 , (請先閱讀背面之注意事項再填寫本頁) A7 B7 經濟部中央樣準局員工消費合作社印聚 i、發明説明() 窗口 /電極52可以如上述申請案中所述之任意形狀, 但於此例子中係接近一平碟,其可選擇地包含一闽柱壁或 裙部,由碟向外延伸,例如用於上述申請案中之電漿束 缚。 窗口/電極52係經由熱傳送材料75交界至散熱器 74。典型地’散熱器74是一水冷金屬板,其較佳是一良 好導熱«,例如鋁或銅,但也可以是非金屬。散熱器74 典型地冷卻使用一液態冷卻劑之冷卻裝置,例如水或乙二 酵,其係被閉路熱交換器或急冷器所強迫流經在散熱器74 内之足夠表面積之路徑。液雄流速或溫度可以被保持於幾 乎定值。或者,液tt流速或溫度可以是溫度控制系統之輸 出變數。 較佳地,輻射熱係用以施加熱量給窗口 /電極,輻射 加熱器72係多數鎢絲燈,其利用石英密封鳢,其中填以 卤素及惰性氣體。輻射加熱器較侵於其他加熱器類型,因 為熱延遲最小:鎢絲燈之熱電容值很低,使得絲溫度對功 率設定改變之時間反應(及因此功率輸出)很小(< 1秒),因 為於燈絲及負載間之熱傳送機構是輻射,總熱延遲是最 小*另外,因為燈絲及負載間之熱傳送機構是輻射,所以 加熱之總熱延遲是最小。另外,因為鎢絲燈之熱電容值很 低’所以於燈中之儲存熱量是很低,及當加熱電力降低被 控制系統所執行時,燈絲溫度可以快速下降。如於第5圖 所示’燈72用以最快可能反應,以直接輻射負載(窗口 / 電極52)。然而,也可以燈72輻射熱傳送材料75。燈加 ___ 第38頁___ 本紙掁尺度適用中國國家標準(CNS > Λ4規格(210X297公筇) (請先閲讀背面之注意事項再填寫本頁) ί .1Τ 線 五、發明説明( A7 B7 經濟部中央樣準局員工消費合作社印裝 熱可以提供於多於一區域上,即於窗口 /電極軸之一或多數 半徑之燈,以改良窗口 /電極之熱均勻性。對於最大熱均勻 性’於兩或多數區域中之燈可以提供分開控制,每一區埃 利用其本身溫度量測,控制系統及輸出換能器。這是當熱 通量空間分怖由室内側改變,取決於處理參數,處理,處 理順庠,或其他邊界條件時,特別有用。 熱傳送材料75可以與窗口 /電極52 —體成型,其組 合係由相同材料形成一單件結構,用以消除當若熱傳送材 料與窗口 /電極為兩分離部件所發生之熱阻β或者,熱傳送 材料75及窗口 /電極52可以兩相同或不同材料之部件其 被結合在一起,(較佳地,具有高電阻材料,因為窗口 /電 極52係用以電感或電磁耦合RF或微波電力,使用電感天 線90 ’ 92及/或42,44),減少於熱傳送材料75及窗口 / 電極52間之熱阻《 或者’熱傳送材料75及窗口 /電極52可以是兩部件 相同或不同材料,其可經由接觸電阻交界•於此例中熱 傳送材料75較佳係由高電阻性之高導熱材料作成。另外, 密度及比熱之低乘積係較佳的。SiC,si,ain及Al2〇3 為例β S i C特性係如下: 導熱 電 比密 性 阻率 熱 度 130 瓦/米.βκ > 105歐姆.公分 〇. 6 6焦耳/克. 3.2克/立方公分 第 39y_ 本紙狀度適财@國家料(CNS)A“( 21Qx29^^ (靖先閲讀背面之注f項再填寫本頁) ,丁 、τ 經濟部中央樣準局員工消費合作社印製 A7 __ Β7 " -----—-- ----- 五、發明説明() 矽可以被使用,若被輕摻雜(不是重摻雜)(即l〇U/立 方公分)並具有以下之特性: 導熱性: 80瓦/米· 電阻率: 20-100歐姆·公分 比熱: 0.7焦弄/克.°Κ 密度: 2.3克/立方公分 氮化鋁或氧化鋁係另外之選擇。 熱傳送材料75可以用以於本技藝中之技術結合至散 熱器74(例如,使用結合材料,例如熱塑膠,環氧樹脂, 或其他有機或無機結合材料),而不需要高電阻結合材料 於接近散熱器74區域之限制。這於熱傳送材料75及散熱 器74間,提供了很低熱接觸阻抗。 熱傳送材料75同時也作用以分離電感天線90, 92及 /或42, 44與散熱器74,若散熱器金屬形成一接地面或反 射器,至產生於每一電感天線90, 92及/或42, 44附近之 感應場。若散熱器74是金屬並太接近電感天線90, 92及 /或42,44,則渦流被感應於接地面,造成電力損失。另 外,若經由天線90,92及/或42,44之RF電流變得太大 來粟動一已知RF電力,則增加了電路中之I2R損失。天 線90,92及/或42,44均為四圈,其包含3/16"直徑水冷 铜管絕緣以高度1"之1/4"外徑鐵氟龍管。於窗口 /電極52 及金屬散熱器74間之可接受距離是約2",得到约於天線 90,92及/或42 ’ 44與散熱器74間之1"距離。 _____第 40貫-------- 本紙張尺度適用中國國家標隼(CNS ) Λ4規;)M 210X297公劝) (請先閲讀背面之注^^項再填寫本頁) 訂 經濟部中央樣準局員工消費合作社印製 A7 _______ B7_____ 五、發明説明() 如上所述,於熱傳送材料75及窗口/電極52間及於 熱傳送材料75及散熱器74間之熱接觸阻抗可以藉由結合 材料在一起而減少。同時,如上所述的是一由單件材料形 成窗口 /電極52及熱傳送材料75,消除一熱接觸阻抗》然 而,於一些例子中,一或兩熱阻抗不能被避免•然而,熱 接觸阻抗可以依據電漿反應器之特性加以減少,該特性將 現在被介紹β 於兩部件間之熱接觸阻抗包含兩平行元件:1)於部件 間之機械接觸點,及2)經兩部件間之經空氣(或其他媒介) 傳導。當沒有空氣或其他媒介時,於兩部件間之熱接觸阻 抗很高,及典型地不可接受用於加熱及/或冷卻窗口 /電極 52,由於於典型電漿反應操作時,所施加其上之高熱負 載。空氣之出現得一較單獨機械點接觸為低之熱接觸阻 抗’但典型地係取決於部件間之有效間陈之邊瞭,有效間 陳是表面粗糙度及兩部件平坦度之函數。對於高壓連續區 域中之空氣’其中氣禮中之平均自由路徑是較於部件問之 有效間距為小,空氣之熱傳導率係不變於氣鳢壓力,以及 每單位區域之熱導率是簡單地空氣熱導率對有效間陈之 比值。於大氣壓及loot之空氣,熱傳導率是約〇 〇3瓦/ 米.°κ。於間隙間之熱傳送係被低室壓所限制,以及被兩 部件間之機械接觸是唯一接觸點所限制。 為了改良熱傳送,一導熱氣體例如(較佳)氦或惰性氣 體之另一種,例如氬,氙等可以依據電漿反應器之第一實 施例,放置於熱傳送材料75及散熱器74間之間陈中,及 (請先閲讀背面之注意事項再填寫本頁) Ή 訂 ( CNS ) ( ------ 經濟部中央樣隼局員工消費合作社印裝 A7 _______ B7_ 五、發明説明() /或於熱傳送材料75及窗口/電極52間之間隙之中。於間 隙中之導熱間隙是最佳加壓在室壓之上,高至大氣壓,雖 然較佳於間《中之熱傳送氣體之壓力是於室壓及大氣恩 力之問。對於導熱氣醴,氦是較佳選擇,因為氦具有於大 氣壓及100°C約0·18瓦/米.°K之導熱率。為了減少於熱 傳送材料75及散熱器74間之熱接觸阻抗,氦可以經由在 散熱器74内之氦分配歧管,而被提供給每一界面之間, 這將如說明書以後所述β如於以下所詳述,小剖面及低硬 度之〇型環可以用以降低於傳送材料75及散熱器74間之 氦洩漏。由熱傳送材料或環75之上表面來之穿孔可以連 接來自於散熱器74及熱傳送材料環75間之上界面間之氣 通道至於熱傳送材料環75及窗口 /電極52間之界面,每一 熱傳送環75可以由任何良好導熱材料形成,該材料係不 會吸收RF場(例如一具有高電阻率之熱導體一合適材 料是碳化矽,雖然,其他半導鳢或介電材料,例如包含氛 化矽’氮化鋁或氧化鋁之陶瓷類型者也可以使用。然而, 碳化梦係用於熱傳送環75之較佳材料。氦可以被供給至 上述氦分配歧管,該歧管係位於散熱器74之内,於一略 高於大氣之壓力,以減少氦及空氣混合,這將增加熱接觸 阻抗。 其他材料可以使用於熱傳送材料75及窗口/€極52 之間,以及於熱傳送材料75及散熱器74之間,減少熱接 觸阻抗。例子是導電彈性墊,例如氮化硼或碳化矽或矽或 氮化鋁或氧化鋁及類似材料。為了上述之原因,浸入金屬 ______第42頁 本紙張尺度適用中國國家榡準(CNS ) Λ4规格(2丨0X 297公ϋ---- (請先Ν1讀背面之注意事項再填寫本頁) ,ιτ 線 A7 B7 經濟部中央樣準局負工消費合作社印裝 五、發明説明() 彈性雔塾可以用於接近散熱器74之界面,但不接近窗口 / 電極52’ 一般而言,導體不能放置接近窗口 /電極52。軟 墊例如11 〇〇系列鋁,銦,銅或鎳可以用於接近散熱器74 之界面’但不能接近窗口 /電極52’為了上述之原因· 冷卻能力及加熱電力需求之最隹選擇或大小作成,係 取決於1)為窗口/電極所需之溫度控制範困,2)最小及最大 熱内部負載,3)窗口 /電極,熱傳送材料,散熱板及於散熱 板及熱傳送材料及窗口 /電極界面間之材料特性及實體大 小,4)散熱器之溫度一般而言,冷卻能力係首先作成用 於具最高内部熱負載之窗口 /電極操作所需之最低溫度,及 以’加熱電力然後大小被作成控制具最低内部熱負載(典 型零内部熱負載)之窗口 /電極之操作所需之最高溫度。 第18圖相當於第5圈之一部份之放大視圈,並例示 出於導熱間隔物75之兩面(前面及底面)之導熱氣體界面 之前述概念之實行’該間隔物係不與半導髖窗口電極52 一艙成型。於第18圈中,如於第5圈所示,上層冷卻板 74輿下層之半導體窗口 52包夾多數圓柱間隔物環75。如 上所述’每一間隔物或環面75可以是不同於半導禮窗口 / 電極52之材料。一歧管1〇〇〇係形成於該冷卻板74之中, 一例如氦之導熱氣體可以由源1〇1〇在正壓下被導入。較 佳地’但不是必要的,源1〇1〇之正壓係被選擇,以保持 在兩部件間之薄間隙内之壓力大大於反應器室壓力,但低 於大氣壓力。氣體孔1〇2〇連接歧管1〇〇〇至於冷卻板74 及間隔物75間之上界面1 030,允許導熱氣體(即氦)填滿 --- 第43頁 {婧先閲讀背面之注意事項再填寫本頁) -. 訂 線! 本紙张尺及通用中國國家標導(CNS〉Λ4_ ( 21()χ 297公处了 五、發明説明( A7 B7 經濟部中央標準局負工消費合作社印^ 於界面103 0中之孔。一軸向通路1〇4〇係提供貫穿於上及 下表面間之間隔物75。軸向通路1〇4〇連接上界面與間隔 物75之下表面及下半導趙窗電極52間之底界面1〇5卜軸 兩通路1040允許導熱氣體由上界面丨〇3〇流至底界面 1050,以填滿於底界面1050中之孔,使得導熱氣體填滿 於上及底界面1030, 1050中之孔β藉由源1〇1〇來保持導 熱氣體歧管1000於正壓力(例如高於室壓5psi),氣體流動 至兩界面1030,1050。為了降低或防止導熱氣體由界面 1030,1050洩漏,於组合時,小剖面〇型環1〇7〇,1〇8〇 係分別包夹於上及底界面之間。〇型環1〇7〇,1〇8〇定義 幾乎微薄含氣容量於相關界面1〇3〇,1〇5〇中,以相通於 分別之氣體歧管1〇〇〇,1040。 第19圈例示第18圖之實施例係如何地修改,以收納 一陣列之導體環面間隔物75,該間隔物係舆半導體窗口 / 電極52 —體成型β於此例子中,唯一被導熱氣體所填滿 之氣體是上界面1 030。 第20圈相當於第5圈之部份之放大圈,並例示出一 於導熱間隔物75兩面(上及底)之導熱固態界面材料之前 述概念之實施’該間隔物係不與半導雅窗口電極52 —禮 成型。於第18圖中,上層冷卻板74舆下層半導體窗口電 極52包夾多數圓柱間隔物環75,如同於第5圈所示。每 一間隔物或環面75可以是如上所述以不同於半導體窗口 電極52材料作成。一導熱固態界面材料層1〇85,ι〇9〇係 放置在上或底界面103〇,1〇5〇之一或二者。若一固態材 ilj-- 1· Γ—-1Γ_I I (請先閲讀背面之注意事項再填寫本頁) -訂· 線 第Μ頁 本紙浪尺度適用中國國豕标隼(CNS ) Λ4規格(210X29*7公筇 經濟部中央標準局員工消費合作社印裝 A7 __________Β7 五、發明説明() 料層放置在上及底界面1030,1050之一,則另一界面將 被以第18圖中之方式填滿以一導熱氣體。然而,第2〇圖 例示出導熱固態界面材料層係於界面1〇3〇,1〇5〇中。如 上所述,於上界面1030中之固態界面材料層1〇85可以是 一软金屬,但於底界面1050中之界面材料層1〇9〇不能為 高導電性,因為其在電極52之旁。上層1〇85可以是軟鋁, 銦,銅或鎳或一被浸以此等金屬粉末或粒子之彈性艘β上 或底層1085, 1090之一均可以是被浸以導熱電絕緣材料’ 例如氮化硼,高電阻性(例如主材質)氮化矽或矽,氮化鋁, 乳化銘等材料之粉末或粒子之萍性嬗。或者,材料層 1085,1090之一或兩者可以是一結合材料,例如熱塑,環 氧或有機或無機結合材料。 第21囷例示第20圈之實施例係如何被修改以收納一 與半導體窗口電極52 —禮成型之一陣列之導髏環面間隔 物75 β於此例子中,唯一被填滿的是上界面ι〇3〇 β 本發明同時也解決一有關於受熱部件在反應室内之 嚴重冷卻問題’於室中之受熱部件是很困難冷卻的,例如 上述參考第5闽所述之高分子硬化前堪物材料之受熱可棄 式環62。(若沒有加熱器的話,環62只被電漿加熱,並因 此仍需要冷卻。)本案同時也解決了加熱部件在反應室内 之問題,該加熱部件係很難直接加熱。 •參考第22及23囷,一直接在環62下並熱接觸之冷 卻板1100具有一内部冷卻劑管1110,其由一冷卻循環菜 1120接收冷卻劑。於冷卻板mo及環62間之界面ι13〇 __ 第45貫 本紙張尺度適用中國國家標準(CNS ) Λ4坭枯(210X297公ITj ---~ ------U---„--^-------訂------線 ' {請先閱讀背面之注意事項再填湾本頁) 經濟部中央標隼局員工消費合作社印裝 A7 _______B7 五、發明説明() 係被填以導熱加強物’例如導熱氣體(如於第22囷中)或一 導熱固態材料1140(第23圖)。導熱氣體可以是任何能導 熱之氣髏’例如一情性氣髏或一類似於使用於反應屋中之 處理氣體,如氦氣之惰性氣體是較佳的。於使用導熱氣禮 之第22圖之實施例中,一歧管1150是經由冷卻板11〇〇 連接至導熱氣雅源1160,該源供給導熱氣體經歧管 至界面1130·由界面U30之氣體洩漏係較佳地被控制, 以降低或防止於環被放置定位時,包夾彈性體低剖面〇型 環1070'於冷卻板11〇〇及矽環62間之損失。 雖然,氦係較佳於間隙中作為導熱氣鳢但於應用至在 次大氣反應室中之受熱或冷卻路徑,但任何包含一處理氣 體之氣體均足以在一大於室壓低於大氣壓力之壓力下滿 足需求。於此例子中,氣髏可以允許洩漏入室中,使得周 圍密封,例如Ο型環或彈性髏之使用可能不需要。因為導 熱氣體(或熱傳送氣體)係受壓於室聲之上,一些夾住力必 須被施加。此一夾住力可以是一機械或感應於板1110及 環62間之靜電力。此一靜電夾住特性將需要一材料,其 至少部份電絕緣被放置於板1100及環62之間。此一特性 可以消除需要用一周困密封來控制導熱氣體之洩漏。此一 靜電夾住特性係參考說明書第26圖加以描述。 導熱氣體可以是由任何合適源導出。例如,若晶圓托 架使用氦冷卻於晶圓下,則一共同氦源可以使用來冷卻晶 圚及其他在室内之項目(例如環62)。 於第23圖之實施例中,固態導熱材料層1140可以是 .............一第46耳 本紙張尺度適用中國國家標準(CNS ) Λ4^柢(210>< 297公^ ' -- (請先閲讀背面之注意事項再填充本頁) -r. •訂 線 _ B7 五、發明説明() 軟鋁,銦,铜或鎳或一被浸以此等金屬之彈性體,或者, 其可以是一彈性體被浸以電絕緣材料,例如氮化硼,高電 阻性(例如主材質)氮化矽或矽,氮化鋁,氧化鋁等材料。 電漿反應器同時有關於冷卻室壁及室襯墊。參考第24 圖,於上述任何一反應室中之室側壁50可以被_接近壁 50之外部份之外部冷卻板1210所冷卻•冷卻板包含内部 冷卻劑管1220’冷卻劑係被一冷卻劑泵所再循環於其中。 於冷卻板1210及側壁50間之界面1240係被填以導熱氣 tt(例如氦),其由氣鳢源1250,經由一歧管1245經冷卻 板1210流入界面1240,該源保持氣體於一正壓。由導熱 氣艙之界面1240洩漏係藉由一於组裝時被包夾於冷卻板 1210及側壁50間之0型環1260所降低或防止。〇型環 1260定義界面1240之氣髏含量容積,其係接近無限小薄 並舆歧管1245相通。 經濟部中央標準局貞工消費合作社印^ 内室襯墊1300可以被導熱冷卻至一冷卻體,例如一 側壁50。依據電漿反應器,此冷卻藉由填入於襯墊13〇〇 及側壁50之内表面間之界面1310以例如氦之導熱氣體而 被加強。為此目的,一徑向窄氣體道132〇係被提供穿過 側壁50’以提供氣體流於外側壁表面上界面ι24〇及在内 側壁表面上之界面1310之間。經由歧管1245所供給之導 熱氣鱧填滿外表面界面1240,並經由通道1320,填滿於 觀塾1300及側壁50間之内表面界面1310。為了防止或降 低氣體洩漏,一 0型環1370係於组裝時係被包夾於侧壁 50及襯墊1300之間。〇型環137〇定義一在 ι31〇中 本紙張尺度適用中國國家標準(CNS ) Μ規枯(210x297公^7 經濟部中央樣準局員工消費合作社印裂 A7 ___________B7^__ 五、發明説明() 之接近無限小薄含氣容積,並與在侧壁50中之氣體通道 1245相通· 第25圈例示第24圈之實施例係如何地修改以於每一 界面124〇及Ul〇中之固態材料層1370,13 80來替代導 熱氣體。於第25圈之實施例中,每一層1370,1380之固 態導熱材料可以是一軟铝,銦,銅或線或一被浸以此等金 屬之彈性體’或者,其可以是一彈性饉被浸以電絕緣材 料’例如氮化硼,高電阻性(例如主材質)氮化矽或矽,氮 化鋁,氧化鋁等材料β 第26圈例示出第22圈之實施例係如何修正以包含環 62之靜電夾住特性至冷卻板u 00之中•於第26圈中,一 介電質層1410係被插於高分子硬化前驅物環62及冷卻板 1100之間’及一靜電夾住電壓係由直流電壓源1420經由 一夾住開關1430施加至冷卻板1100 ·絕緣或介電質層 1410之引入到造一間隙1130a於冷卻板ι10〇及絕緣層 1410之間,及-間陈U3〇b於環62及絕緣層1410之間。 絕緣層1410具有一通道1412貫穿其間,使得由通道1412 供給之氣體進入間隙1 13〇a流入其他間隙1130b ·雖然第 26圈示出Ο型環密封間陈113〇3及113〇b,但此等〇型環 可以不要,取決於所感應之靜電夾持力。 電漿反應器於反應器之熱接收元件及冷卻板或散冷 器間之整個界面,提供一大改良(於氦導入例中約因數6) 導熱率’該反應器包含室内(例如室襯墊,可棄式矽環)及 室外(例如窗口 /電極,矽壁)。結果,電漿反應器之很多臨 -------- —__SU8T_ 本紙张尺度適用中國國家標參(CNS ) 格(2Κ) X 297公H "" " (請先閲讀背面之注意事項再填寫本頁) ,1Τ A7 A7 經濟部中央樣隼局負工消費合作社印製 ___B7 _ 五、發明説明() 界部件之自動溫度控制係被改良,並超出先前技藝之能 力。本發明完成此目標於各種界面之兩特徵模式之一或组 合:(a)導熱氣醴引入界面,及(b)導熱固態材料層引入界 面。組合上相同元件之有效控制加熱,這允許每一此如此 加熱及冷卻之元件之溫度之正確回授控制· 於選擇反應器之傳熱材料及/或實體大小,以下冷導 需要(G)被決定如下: G =總最大内部加熱負載(瓦)/△ ·Τΐ(βς ) 其中Δ-Τ1=於散熱器溫度及最小窗口 /電極溫度間 之差。 或者,若熱傳送材料及實體大小已經被選擇,則需要 之散熱器溫度可以藉由重新安排用於上_丁1之公式為G之 函數而加以大致計算得出。 加熱電力然後被決定如下: Ρ =需要以傳送至控制表面之總外加熱電力(瓦), P = (G · Δ -T2)-Proin 其中: G是由以上之冷導(以瓦/β(:為單位) △ -T2=於散熱器溫度及最大窗口 /電極溫度間差 Pmin為在窗口 /電極上之最小内部加熱負載。 例子1 : 窗口/電極52及熱傳送環75係一體成型為單片,以 及,窗口 /電極52係一平圓形碟直徑12 81吋及〇 85吋 厚。與窗口/電極52-禮成型的是—四同心圓柱熱傳送環 本紙張尺度剌巾ϋϋ家料(CNS ) ( ---- --------L--:——-------訂 I—-----或 < (锖先閱讀背面之注意事項再填寫本頁) 五 、發明説明( A7 B7 經濟部中央標準局貝工消费合作社印製 (75)陣列,具有2吋高以及以下之内及外徑: I外加熱環-12.8忖外徑,1〇79吋内徑, 2·中間加熱環-9.〇1〇吋外徑,7 595吋内徑, 3. 内熱傳送環-5.715吋外徑,3·94〇吋内徑, 4. 中心熱傳送環-2.260叫外徑,〇 94叶内徑· 窗口 /電極52及-ft阵列之同心圓柱熱傳送環75係 由單一塊之多晶矽所製造,以以下之熱及電特性: 摻雜位準:1〇14/公分3,硼或磷 80瓦/米.βΚ 由20至100歐姆·公分 0.7焦耳/克. 2.3克/立方公分 多數之750瓦120伏rms鎢絲燈76係被使用。燈之 數量係基於所量得之73%效率(輪出功率/交流輸入功率) 以及400瓦之80伏rms最大操作位準(對於長燈效壽命) 加以選擇。兩熱區域係被使用,在外圓之區域者包含一區 域(外區),以及,在内圓及在中心之區域包含第二(内區) 區域。每一區域具有其本身溫度量測(_ k型熱耦器彈簧 加載於窗口 /電極表面)及其輸出換能器(一相位角度控制 器)。由赛維亞所製造之燈係使用如下: 15燈於13.55吋直徑圓,等角間隔(24度); 15燈於6.655叶直徑圓,等角分隔(24度): 1燈於中心抽。 導熱性: 電阻率: 比熱: 密度: 第50頁 本紙張尺度適用中國國家標隼(CNS ) Λ4規彷(2I0X 297公筇 (锖先Μ讀背面之注意事項再填寫本頁) -訂 嗥! A7 Β7 經濟部中.央榡準局員工消費合作社印聚 五、發明説明() 外燈圓係被一圓注定位研磨鋁反射器所包圍在外 捏’該反射器係一體於散熱器74。 外螺線管天線90是4圈,包含3/16"直徑水冷铜管, 被以1/4"外徑鐵氟龍管得到線圈高度1吋及平均直徑10 叫·’並以上述參考案所述之方式纏繞。 内螺線管42是4圈,包含3/16"直徑水冷銅管,被以 W4"外徑鐵氟龍管得到線圈高度1吋及平均皇徑3 25吋, 並以上述參考案所述之方式纏繞。 散熱板74是一水冷鋁板被一閉路熱交換器保持於75 t,使用一 50/50%之水/乙二酵混合劑於每分鐘2加侖之 流速β散熱器74包圍燈插座並提供燈76所需之冷卻,由 於對插座之固有損失(大約27% )·散熱器板74包含用於 内及外螺線管天線42, 90之婧送管。散熱器74同時也作 動為一用於天線42, 90之接地面。散熱板74包含Ο型槽, 以收納被用在每一熱傳送環75外徑内及每一熱傳送環75 之内徑外之30硬度直徑0.139吋之軟Ο型環。散熱器74 被安裝在同心圓柱熱傳送環75之一 «陣列之上。(熱傳送 環75之散熱器74之底部)兩表面之表面粗糙度係低於一 微吋》每一表面之平坦度是低於0.0005吋。散熱器之底部 及熱傳送環之上方間之有效間陈是低於0.001吋。例子2 : 窗口 /電極52及熱傳送環75係由不同材料之個別片 段。窗口 /電極52是一平圓型碟直徑14.52吋及0_85吋厚。 一個別陣列之4同心圓柱加傳送環75,2吋高,以下之内 ___ 第S1頁 本紙垠尺度適用中國國家標準(CNS ) Λ4坭格(210Χ 297公兌) (婧先閲讀背面之注意事項再填寫本頁) > -1 _*1 mu _ A. 訂 經濟部中央標準局員工消f合作社印裝 A7 _ _B7 五、發明説明() 外徑係被放置於散熱板及窗口電極之間: 1. 外熱傳送環·12.70吋外徑,10.67吋内徑, 2. 中間熱傳送環883吋外徑,7.676吋内徑, 3. 内熱傳送環-5.576吋外徑,3.920吋内徑, 4. 中心熱傳送環-2.080吋外徑,1.050吋内徑。 窗口 /電極52係由單一塊之多晶矽製造,具有以下之 熱及電特性: I -.*! - - -'·-: I 1:-» !1-- —----- 1. am l> §mmm— «Bn V...、、 (請先閲讀背面之注意事項再填寫本頁) 摻雜位準: 1014/立方公分,硼或磷 導熱率: 80瓦/米·欠 電阻率: 20-100歐姆·公分 比熱: 0.7焦耳/克.°K 密度: 2.3克/立方公分 同心圓柱熱傳送環75之陣列係由SiC(碳化矽)製造, 並具有以下之熱及電特性: 導熱率: 100 瓦 / 米.°Κ 電阻率: 105歐姆•公分 比熱: 0.655焦耳/克· °Κ 密度: 3.2克/立方公分 多數750瓦, 1 20伏rms鎢絲燈被使用。燈之數量係 基於73%效率(輸出功率/交流輸入功率)及400瓦80伏rms 最大操作位準(對於長效燈壽命)加以選擇。兩熱區係被使 用’在外圓者之區域包含一區(外區),在内圓及中心之區 域包含第二(内區) 。每一區域具有其溫度量值(一 k型熱耦 本紙張尺度適用巾關家縣(CNS ) ( 21Qx2g7^^· 經濟部中央標準局員工消費合作社印餐 Α7 Β7 五、發明説明() 彈黃加載至窗口 /電極52表面)及其本身輸出換能器(一相 位角控制器)。燈76係由赛維亞所製造並被使用如下: 15燈在13.55吋直徑圓,等角間隔(24度) 15燈在6.626吋直徑圓,等角間隔(24度) 1燈在心中轴。 外燈圓係被一圓柱研磨鋁反射器所包团在外側,該反 射器係輿散熱器一體成型。 外螺線管天線90是4圈,包含3/16"直徑水冷銅管, 被以1/4"外徑鐵氟龍管得到線圈高度1吋及平均宣徑1〇 叫’並以上述參考案所述之方式纏繞。 内螺線管42是4圈,包含3/16"直徑水冷銅管,被以 1/4"外徑鐵氟龍管得到線圈高度!吋及平均直徑3 25吋, 並以上述參考案所述之方式纏繞· 散熱板74是一水冷鋁板被一閉路熱交換器保持於75 °C,使用一 50/50%之水/乙二酵混合劑於每分鐘2加侖之 流速。散熱器74包困燈插座並提供燈所需之冷卻,由於 對插座之固有損失(大約27% )。散熱器板74包含用於内 及外螺線管天線42, 90之饋送管·散熱器74同時也作動 為一用於天線之接地面》散熱板74及窗口 /電極52包含Ο 型槽,以收納被用在每一熱傳送環75外徑内及每—熱傳 送環75之内徑外之30硬度直徑〇·139吋之軟〇型環。散 熱器74被安裝在同心圓柱熱傳送環75之一體陣列之上。 (散熱器之底部及熱傳送環之上方,熱傳送環之底部及窗 口 / t極之上方)兩表面之表面粗糙度係低於一微吋β每一 ---^第 53Τ 本紙張尺度適用中國國家標隼(CNS > Λ4现格U10X297公H ----一 fc - 1^1 1^1 HI ( n (請先閲讀背面之注意事項存填寫本頁)
1T 經濟部中央樣準局貝工消费合作社印装 A7 ____B7 五、發明説明() ~ 表面之平坦度是低於0.0005吋。散熱器之底部及熱傳送環 之上方間之有效間陈是低於0.001吋。熱傳送環之底部及 窗口 /電極之上方間之有效間陈是低於0.001叶。 有關於_丰·發明之_詳細說明:可移除電漿走嫌磁嫌棵紐:___ 現參考第27圈’保護泵環帶60之電漿束缚磁鐵8〇, 82可以均被包圍在一模组(可移除)磁鐵襯墊模组中。因 此’當磁鐵楔墊模组2020保持重漿束缚磁鐵82時,一磁 鐵襯墊模組2010保持電漿束缚磁鐵80。每一磁鐵椒签模 組2010,2020係較佳地由例如鋁之非磁性金屬形成β矽 天花板52停放在襯墊模组2010上及襯墊模组2010停在 室侧壁或室體50上。RF墊圈及〇型環2014係壓於襯签 2010及天花板52之間》另一 rf墊圈2016及另一 〇型環 2018係壓於襯墊模组2010及室體50之間。參考第28圈, 每一襯整> 2010,2020具有一開口或矩形凹部2030,其中 放置有磁鐵(例如磁鐵8 0)。磁鐵80被一於磁鐵80及磁襯 墊模组間之結合層2040所結合至開口 2030之向外表面, 結合材料例如可以是一環氧材料。磁鐵8〇被保護地密封 於開口 2030之中’藉由一鋁蓋2050,其可以被雷射烊接 或電焊至磁概墊樸组,以密封該開口 β這於蓋2〇5〇及襯 整模组間形成一萍接層2060〇襯墊模组2010,2020係被 放置在菜環帶60之内壁上’使得磁鐵8〇, 82係儘可能地 接近其與電衆反應之區域。雖然磁鐵8〇,82離開電漿反 應區一最小距離,但本實施例之一優點是磁鐵藉由被密封 本紙張尺度適用中國國家標準(CNS ) 規櫓(---* ί讀先閱讀背面之注意事項再填寫本頁) m. _ 丁 一L 、=» 經濟部中央標準局貝工消費合作社印製 A7 B7 五、發明説明() 在個別襯墊模組2010,2020之中而不被電漿破壞。另一 優點是磁鐵係藉由熱接觸導熱襯墊(鋁)模组與壁面而熱耦 合至冷卻.想(即室壁),使得磁鐵80,82被冷卻。這使得電 漿束缚磁鐵被保持低於居里溫度,因此,保持有效。為此 目的,除了經由冷卻板74之冷卻劑通道74外,其他之冷 卻劑通道2070也可以提供於室壁接近與電漿束缚磁鐵襯 墊模组2010, 202相接觸之區域。為了更加強由磁鐵襯墊 模组之熱傳送至室壁,每一襯墊模组2010,2020可以藉 由一固定器20 80被固定至接合室。磁襯墊模组2010,2020 之一特性是其容易由室组件中除去,用以容易清洗。 除了藉由電漿東缚磁鐵對80, 82之保護泵環帶60 外,反應器可以具有晶圓狹缝閥2082,其可以被包圍於一 類似對之電漿束缚磁鐵襯墊模组208 8,2090中之另一對 電漿束缚磁鐵襯墊模组所保護,每一模組係使用上述參考 第28圈所示之特性, 電漿束缚磁鐵對可以被用以防止經由於實體阻障(室 壁),例如晶圓狹缝閥,至室之氣髏入口,杗環帶,室亩 口甚至室壁本身中任何間隙之電漿洩漏。由電聚束缚磁鐵 所防止經由室氣鳢入口之電漿洩漏之例子係例示於第27 圖中,用於一在上方中心氣體鑌送2092 ·中心氣體饋送 2092收納一襯墊模组2094 ’該模組握住至少一對彼此相 對之電衆東缚磁鐵2096a’2096b於整個中心氣禮馈送2090 上β或者’襯墊模組2094可以被分成兩個別模組,每一 個均握住該對電漿束缚磁鐵2096a,2096b之一。中心氣 ______ 第55頁 n 1— Γ— n h9 l I . -- I ./.. (請先閲讀背面之注$項再填寫本頁) 訂 X . 本紙張尺度適用中國國家標準(CNS ) /\4蚬格(210X297公片) 經濟部中央樣準局負工消費合作社印裂 A7 B7 五、發明説明() 雅饋送觀整模組2094可以是鋁,但,一選擇可以使用矽 於襯墊模组2094中,以配合矽天花板52之故。反應器之 每一孔或氣體入口可以具有一類似之電漿束缚磁鐵襯墊 模组。 相反於放置中心氣體鑌送電漿束缚磁鐵在天花板中 之觀#模组中,磁鐵可以放置在天花板之上而不使用任何 機整*模组。 於此所稱之襯墊模组不必然是室之襯墊(例如覆蓋該 室内表面之可移除片段),也可以簡單地作為電漿束缚磁 鐵之保護外殼而不作為襯墊· 所稱之電漿束缚磁鐵對之磁導向可以依據於第31A-31E圈所示之選擇,相當於上述共同申請案即美國申請案 第08/597,577號所揭示者· 克服非均勻加熬/夭花板之洽卻 再次參考第27圈,來自天花板52經由每一導熱環75 至冷卻板74之熱傳送係取決於冷卻板74及導熱環75間 之間隙74·之熱阻。這熱阻取決於間陈74·,其順序地取決 於表面平坦度及環75被夾持於冷卻板74之力量。除非於 導熱環75及冷卻板74間之間隙74’之所有熱阻係幾乎相 等’否則由不同同心導熱環75來至冷卻板74之熱傳送會 不同。因為每一環75之不同區域接觸天花板52之不同區 域,所以為不同環75之熱傳送之不均勻將於整個天花板 52表面產生空間不均勻分佈。因此,假設為分配加熱器燈 之均勻天花板加熱,則於天花板52之不均勻熱傳送分配 _ 第567Γ 本纸張尺度適用中國國家標隼(CNS ),\4規格(210X 297公鎿) {請先閲讀背面之注意事項再填舄本頁)
經濟部中央標準局員工消費合作社印裝 A7 B7______ 五、發明説明() 將產生於天花板52之溫度差之一項大問題°也似乎不可 能來避免此一問題:一相當均勻溫度分佈於15叶直徑天 花板52畔需要於冷卻板74及環75間之間陈被保持在一 密耳(千分之一吋)之幾十分之一至二之公差於整個冷卻板 之整個直徑(其中間隙被填滿氣體)。實除上’以碳化矽材 料,公差係最好不大於二至三十分之一,以鋁材料之公差 是不大於50分之一或更多·因此,取決於冷卻板係如何 地緊密地與導熱環固定在一起,天花板52可以綠歷於其 直徑間之過量溫差。 所需要於冷卻板74及每一導熱環75間的是一界面, 其允許冷卻板74被懸掛由導熱環75向上(而不必中斷龙 或氣體或冷卻劑之連接或耦合)及其提供均勻之導熱接觸 阻抗。此一快速可移除性係需要以週期性地維護或替換天 花板。因此,試著去提供一具有均勻熱接觸阻抗之界面, 而藉由結合導熱環75至冷卻板74並不是一可實施之解決 方法,因這將防止可移動性。熱接觸阻抗之均勻性可以藉 由使用一軟鋁材料於間隙74'中加以加強,但這將於冷卻 板74及導熱環75間需要太大之壓縮力(因為於冷卻板74 上間隙74'寬度變化大之故)。接觸阻抗之均勻性可以藉由 使用一導熱脂於間味74’中加以加強,但這將太辨,並於 電漿處理中造成高污染危險。 已經發現使用一導熱彈性變形材料,例如Graf〇u成 為一導熱層3 00於間隙74'補償上述之差間陈公差,其提 供相當均勻熱接觸阻抗於整個天花板52之直徑上,而不 _ 第57頁 本紙張尺度適用中國國家#準(CNS ) Λ4ίϋί格(210x 297公H ~ ----- (請先閲讀背面之注意事項再填寫本頁) 衣- 訂 Λ 經濟部中央標嗥局員工消費合作社印^ A7 B7 _ 五、發明説明() 需要過量之壓縮力於冷卻板74及導熱環75之間^Grafoil 是美國俄玄俄州克里夫籣郵政信箱94364號之UCAR碳公 司所販售之產品)。所需壓縮力係藉由降低放置在間味74' 内之弹性變形導熱層3010之厚度而降低。(層3010係藉 由於冷卻板74及導熱環75間之壓縮力而彈性變形•然 而,層3010之厚度不能降低超出所需以使層3010之弹性 可變形導熱材料補償於間陈厚度大公差。因此,於厚度及 剛性間有一交換。為了最佳化此交換.,已發現彈性可變導 熱層3010之厚度是在約0.04至0.16吋之範团及更好是在 约0.06至0.125吋之範困。 與彈性變形導熱層3010有關之問題是其吸收來自電 感線圈42,90之RF電力並分流至冷卻板74之熱量•吾 人已經藉由放置一導電層3 〇2〇於導熱層3010及導熱環75 之間而解決此問題,其反射來自線圈42, 90之RF感應場, 藉以防止RF電力為導熱層3010所吸收。吾人較喜歡導電 層3 020是一鋁並具有約1-1〇密耳之厚度並最好是在2至 3密耳。方便地,上述之Grafoil供應商供给具有鋁塗層於 其一側之Grafoil帶。一鋁以外之合適材料來作為反射層 3 020的是例如銅鎳,銀或金β此一材料應符合足夠導熱及 對來自線圏42, 90之感應RF場之高反射率之雙重需求。 用於導熱層3010之鋁層Grafoil帶較佳材料之優點是 其符合導熱材料之需求,其可彈性地變形,薄並可迅速地 由冷卻板74及導熱環75分離,同時,其鋁塗層對RF感 應場提供一良好反射器。 ________ 第 58 貫 本紙張尺度適用中國國家樣.準(CNS) 格(21〇>< 297公·^ (锖先閱读背面之注意事項再填寫本頁) ,1Τ Λ 經濟部中央樣準扃負工消費合作社印11 A 7 _______ B7 五、發明説明() 依據另一可能實施,除了放置彈性可變形導熱層3010 於冷卻板74及導熱環75之間外,於每一導熱環75及半 導鳢天花板52間之間陈75,之熱接觸阻抗可以使用一彈性 變形導熱材料之類似層於天花板52及每一導熱環75間之 間陈75'中加以改良。因此,一弹性變形導熱層3035(例如 Grafoil)可以被放置於每一導熱環75及天花板52間之間 « 75'中。然而’半導髖天花板52及導熱環75較佳地構 成單一模组件,使得環75較佳地不與天花板52分離,因 為環75及天花板52係結合在一起,以最佳化熱傳送· 模组性及加強產铱 模组性(可分離性)對於容易維修係重要的β包含冷卻 板74’源電力線圈42,90及加熱燈72之上組件3040係 可分離地由下组件3050卸下,下组件包含導熱環75及半 導體天花板52。下組件3050本身係可由室中分離。上组 件3040及下組件3050之可分離性允許半導體天花板52 被替換,而不需中斷流雅及電連接。此一替換在處理約 1,000,000晶圓後被進行^下組件3050(留下附著於其上之 上组件)之可分離性允許對電漿束缚磁鐵2010,2020之取 得’用以除去或清洗’及掃除室内表面,而不必中斷流體 或電連接。在處理約3000至4000晶圆後,需要此處理。 未示於第27圈的是接合設備(用以接合冷卻板74及 天花板5 2),及夾住設備,用以夾住冷卻板74至導熱環75 及用以夾住矽天花板至磁襯墊模组2010上。 具有半導禮提升销之靜電夾盤: _____----第 59 貫__ 本紙張尺度適用中國國家標準(CNS ) Λ4^格(210x 297公ff~) 一 (锖先閱讀背面之注意事項再填寫本頁) 訂 經濟部中央樣隼局具工消f合作社印製 A 7 B7 五、發明説明() 依據本發明之另一態樣,一靜電夾盤係以一特性加 強’當放開晶圓時,該特性消除了經由電漿來放電晶圓β 傳統上’由一靜電夾盤釋放晶圓時,必須採以下之步麻: (1) 釋放於晶圓及靜電夹盤間之氦其空; (2) 接地靜電夾之背侧; (3) 等待直到晶圓經電漿放電,然後,移開晶圓。 該方法之問題是晶圓具有一厚介電塗層,減緩了經由 電漿之放電,或防止一完全放電,使得過章力量而需要用 以移開晶圓。或者,若太多電荷累積於晶圓上時,於一實 除時間段中,晶圓不能完全地放電· 本發明克服了以上之有關傳統靜電夾盤之問題,藉由 提供接地半導體銷或提升銷在夾盤中,只要想要的話,夬 盤係被提升以接觸晶圓之背面,以移開或故開晶圓·晶圓 係藉由歐姆接觸或隧道效應或由晶圓背面洩漏至半導雅 销而放電。參考第27圖,靜電夹盤54藉由施加於晶圓56 及夾盤54間之#電夾盤介電層之電場,而使夹持晶圓56 向下。靜電力可以藉由充電靜電夾盤54而產生,這是藉 由暫時如圈所示將其連接至電壓源。靜電夾盤54係藉由 一或多數半導鳢提升銷40 10之加入而加強,銷4010向上 延伸穿過晶圓之背面。支持半導體銷4010另一端之提升 座4020係藉由一致動器4030所移動向上或向下,以移動 半導體提升銷4010上或下。為了釋放晶圓,丰導體销係 被接地及致動器4030移動提升座4020向上,直到半導體 提升座接觸晶圓之背面。晶圓然後很快地放電,其後晶圓 _______第 60Τ ___ 本紙張尺度適用中國國家榡準(CNS ) Λ现枋(2丨0X 297公棼) Ϊ I#— ! --If- ......- -,-^Is - ί (請先閱讀背面之注$項再填寫本頁) 訂 經濟部中央樣率局負工消費合作社印製 A7 -------- -B7 五、發明説明() "— 可以被移開β其優點是於釋放時沒有晶圓斯裂之危險,因 為晶圓係完全地放電,無關於是否晶圓具有—厚介電質層 或具有大量之累積電荷否。較佳地,半導髏提升销4010 係唆化矽,雖然其可以是任何例如矽之合適半導雅材料β 竣化矽材料可以藉由化學氣相沉積法形成·一單一销於很 多例子中就足夠了。 半導髏接地或提升銷優於金屬銪之處是金屬之導電 率係太大’使得必須使用一電阻,來避免於晶圓背面之發 孤’甚至此一電阻,一金屬銷沿著其長度提供發弧或氣髖 崩溃點,以及,用以分離電流至反應器中其他處之點。再 者’金屬銷係易受磨損。相反地,半導髏(例如碳化矽)提 升銷具有一高電阻率,因此,並不會有發生發弧之危險並 較耐用。 靜電夾盤碳化矽軸理 静電夾盤54可以藉由加入破化梦袖環4050於周園而 加強•碳化矽軸環4050可以藉由化學氣相沉積形成*碳 化矽軸環4050係於靜電夹盤54及受熱矽環62之間。如 圈所示,軸環4050較佳係與靜電夾盤54共延伸於高度。 然而,轴環4050於一些實施例中,可以延伸超出夾盤54 之平面,以覆蓋被支持於夾盤54上之晶圓之邊緣。 半導鳢軸環4050防止靜電夾盤之腐蝕’否則這會導 致污染及造成靜電夾盤之經常更換.再者,軸環4050之 半導髏材料係對蝕刻較其他材料例如石英不敏感(或#刻 較慢)。 ---第 61Τ_______ 本紙張尺度適用中國國家標準(CNS ) Λ4規枯(21〇Χ297公兑) (婧先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央樣準局工消费合作社印^ A7 ______B7 五、發明説明() 於受熱矽现申之勑换 受熱梦環62可以藉由提供一徑向狹缝4060貫穿於其 中而加強’如於第29圈所示。狹缝4060允許矽環62之 較大熱膨脹而不會斷裂。
异定向均勻量繞组乏RFJI廠婕W 如於先前共同申請案所揭示,感應天線可以藉由多共 平面圓繞組加以形成(不同於單一螺旋繞组)。每一繞组係 藉由於相鄰平面間之導體中之步隋連接至其相鄰導體。這 是例示於第30圈中,其中’堆疊多平面圓繞组5〇1〇以一 端5020開始由一相鄰平面向下並結束於另一端5〇4(),其 下降至下一粼近面。升及降端5〇2〇,5 040定義一步1¾ 5 060 於單導《 5065中,導體5065係形成多繞组5010。於堆疊 中之繞组數量係固有地非均勻,因為於導體5 065中之步 味5 060之故。這部份是由於上繞组5〇10a藉由其急彎由 堆疊突然離開’由一平行於繞组5〇1〇平面之方向至一垂 直方向•此一突然離開創造於堆疊底至上方之繞组數之不 足率,導致不均勻性。 依據本發明’該不均句性係藉由使導體5065之底返 回脚5070沿著一向上孤形路徑(例如圓形路徑)加以補 償’該孤形路徑係於導體5065中,由一端5060a延伸至 步階5 060之另一端5060b»底返回腳507〇之圓形路徑之 半徑是使得其達成接近步階端5060a —最大電感及建立一 最小電感於接近另一步隋端5060b,步階5060a端係最接 近平行於繞組5010之平面’步隋端5〇6〇t>係最接近垂直 ____第62貫 本纸張尺度適用中國國家榡準(CMS ) Λ4規格(210X297公-- (請先閲讀背面之注意事項再填寫本頁) 訂 峡! Α7 Β7 五、發明説明() 於繞組5010之平面。於底返回腳5070之電感分佈之平順 轉換相對於導髏5065中之沿著步階5〇6〇之長度之轉換, 其係由具有最少量之堆疊繞组之—端5〇6〇a(缺少返回腳 5 070)至具有最大堆疊繞组量之另一端5 〇6〇b。這提供於繞 组有效量之最佳均勻性。 雖然,本發明已經藉由參考特定較佳實施例加詳細說 明,但可以了解的是,其變化及修改可以在不脫離本案之 精神及範困下加以完成· (請先閲讀背面之注意事項蒋填寫本頁) IT. 訂 經濟部中央標浪局貞工消費合作社印製 »63Τ u 、v·'

Claims (1)

  1. 經濟部中央標準局貝工消費合作社印装 Α8 Β8 C8 D8 六、申請專利範圍 1. 一種電漿反應器,其至少包含: 一室’用以包含電漿; 一通道與該室相通; 一可移除電漿束缚磁鐵模组,被放置接近該通道, 該第一模组至少包含: 一第一模组外殼,及 一第一電漿束缚磁鐵在該外殼之内。 2. 如申請專利範团第1項所述之反應器,更包含一第二可 移除電漿束缚磁鐵模组,放置在接近該通道,該第二模 组至少包含: 一第二模组外殼,及 一第二電漿束缚磁鐵。 3. 如申請專利範圍第2項所述之反應器,其中上述之第一 及第二模组係定位在通道之兩相對側· 4. 如申請專利範圍第3項所述之反應器,其中上述之第一 及第二電漿束缚磁鐵具有磁性定向,其相反於電漿傳送 .或經由該通道之戌漏。 5. 如申請專利範圍第1項所述之反應器’其中上述之第一 模組外殼包含一相當非磁熱導體。 第64頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) . ,.:tr------r.l (請先Η讀背面之注意事項再填寫本頁)
    六、申請專利範圍 經濟部中央標準局5工消費合作社印製 6.如申請專利範圍第5項所述之反應器,其中上述之第一 模组包含鋁。 7·如申請專利範困第5項所述之反應器,其中上述之室包 含一室體,以及,其中该第一模組外赶係與該室體相熱 接觸· 8.如申請專利範圍第7項所述之反應器,更包含冷卻設 偫,其係熱連接至該室主技’藉以保持第一電漿束缚磁 鐵在居里溫度下· 9·如申請專利範圍第1項所述之反應器,其中上述之反應 器更包含泵環帶,接近該室之一周圍’以及’其中該通 道包含一通道相通於室及系環帶之間* 10. 如申請專利範团第1項所述之反應器,其中上述之通道 包含一晶圓狹缝閥· 11. 如申請專利範圍第1項所述之反應器’其中上述之通道 包含一氣體饋送入口。 12. 如申請專利範圍第π項所述之反應器,其中上述之氣 體饋送入口包含一中心氣髗饋送’經由該室之天花板β 第65頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) (請先閱讀背面之注f項再填寫本3Γ) 訂 A8 B8 C8 D8 申請專利範圍 η 13.如申請專利範圍第1項所述之反應器’其中上述之反應 器更包含一室側壁及一室天花板’及其中該第一楔組外 殼停放在該側壁上及該天花板停放在第一模组外毂 上。 請 先 聞 經濟部令央標準局貝工消費合作社印装 14. 如申請專利範圍第5項所述之反應器’其中上述之模組 外殼包含一開口,該第一磁鐵定位在該開口内,核楔組 外殼更包含: 一結合層,附著該第一磁鐵至在該開口内之内部表 面,及 一蓋板,復蓋該開口’以密封該第一磁鐵在第一棋 组外殼之内。 15. 如申請專利範圍第14項所述之反應器,更包含一焊接 結合於該覆蓋板及該第一模组外殼之間· 16. 如申請專利範圍第15項所述之反應器,其中上述之烊 接結合係藉由以下之一產生:(a)E束焊接(E-beam welding),(b)雷射焊接。 17. —種電漿反應器,其至少包含: 一反應器室密封包含一天花板; 多數冷卻環,停放在天花板之上方; 一冷卻板放在多數冷卻環之上;及 本紙張尺度適用中國國家棣準(CNS ) A4規格(2丨〇X297公釐) 之 注 I 頁 k 訂 經濟部中央橾準局®;工消费合作社印装 A8 B8 C8 __ D8______ 六、申請專利範圍 一彈性可變形導熱層,於每一冷卻環及冷卻板之 間。 18. 如申請專利範圍第17項所述之反應器,其中上述之萍 性可變形導熱層包含GrafoU。 19. 如申請專利範圍第17項所述之反應器,更包含一 RF 反射器塗層於彈性可變形導熱層及每一冷卻環之間* 20. 如申請專利範圍第19項所述之反應器,其中上述之RF 反射器是導熱性。 21. 如申請專利範圍第20項所述之反應器’其中上述之1117 反射器塗層是導電性》 22. 如申請專利範圍第21項所述之反應器,其中上述之RF 反射器塗層包含鋁。 23. 如申請專利範圍第19項所述之反應器’更包含一 RF 電力應用器於該冷卻板及該天花板之間’天花板能經由 該天花板耦合RF電力至室,藉以該反射器塗層反射RF 電力,以防止其為該彈性可變形層所吸收。 24. —種電漿反應器,其至少包含: 第67頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) - ^ - J-- -----r 參------tr------r (請先閱讀背面之注意事項再填寫本X) 經濟部中央揉準局員工消費合作社印製 Α8 Β8 C8 D8 六、申請專利範圍 一反應器室; 一靜電夾盤,用以支持一工件於該室内;及 至少一半導碰接地銷,延伸經該靜電炎盤向著一平 面’其上該夾盤係能支持該工件,該插銷係能移動於— 延伸位置及取回位置之間,該延伸位置中,插销可以接 觸該工件· 25·如申請專利範团第24項所述之反應器,更包含一框架 支持該插銷,該框架係可連接至接地電位。 26·如申請專利範圍第25項所述之反應器,更包含一致動 器’用以移動該框架’藉以傳送該插銷於該延伸及取回 位置之間。 2 7·如申請專利範圍第24項所述之反應器,其中上述之插 銷包含珍。 28·如申請專利範圍第24項所述之反應器,其中上述之插 銷包含碳化矽· 29·如申請專利範困第24項所述之反應器,更包含一介電 質廣在靜電夾盤之上,用以絕緣該工件與夾盤。 3 0.如申請專利範園第29項所述之反應器,其中上述之靜 I — — — —. — — -v^ll!*—iT— n 1_11 (請先M讀背面之注Kp再填寫本買) 第68頁
    A8 B8 C8 D8 經濟部中夹揉準局貝工消费合作社印裝 申請專利範圍 電夾盤係可交替地連接至一接地電位及一電壓源,及其 中該半導體插銷係連接至一接地電位· 31.如申請專利範困第24項所述之反應器,更包含一半導 軸環困繞該靜電夹盤之周圍。 3 2.如申請專利範圍第31項所述之反應器,其中上述半導 髏軸環包含一碳化矽。 33. 如申請專利範園第31項所述之反應器,更包含一受熱 半導鳢環圍繞該靜電夹盤。 34. —種電漿反應器,其至少包含: 一室; 一工件夾盤,用以支持一工件於室内; _ RF電力應用器,及氣雄入口設備,用以允許處理 氣髖進入該室中; 一半導雅環’困繞該工件夾盤及加熱設備,用以加 熱該半導體環;及 一狹缝,於該半導禮環中,以允許半導體環之熱膨 脹。 3 5_如申請專利範圍第34項所述之電漿反應器,其中上述 之半導體環包含(a)碳化矽及(b)矽之一。 第69頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) I--------/Γλ^.-----—訂 (請先W讀背面之注意事項再填寫本頁) A8 B8 C8 08 申請專利範圍 6 ·如申請專利範面第34項所述之電漿反應器,其中上述 之加熱設備包含多數輻射加熱燈,在該半導體環之下。 ---------k4衣— (請先閱讀背面之注^•項再填寫本頁) 37. 一種電漿反應器,其至少包含: -室; 一靜電失盤,用以支持一工件於該室中; 一 RF電力應用器及氣體入口設備,用以允許一處理 氣誼進入室;及 一半導體軸環,於該靜電夹盤之周团,半導體抽環 係由化學氣相沉積形成。 38. 如申請專利範面第37項所述之反應器,其中上述之半 導體軸環包含碳化矽。 39. —種電漿反應器,其至少包含: 一室; 一靜電夾盤,用以支持一工件於該室中; 經濟部中央梯準局負工消費合作社印製 一 RF電力應用器及氣體入口設備;及 一碳化矽軸環,於該靜電夾盤之周圍。 40. 如申請專利範困第39項所述之電漿反應器,更包含一 半導體環,圍繞該靜電夾盤及該碳化矽軸環。 41. 一種電漿反應器,其至少包含: 第70貫 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 纊濟部t夹櫺準局貞工消费合作社印装 申請專利範園 一室包含天花板; 一托架’用以支持一工件在室内; 處理氣體入口設佛; 一電感天線包含多數堆疊線圏繞组,每一線圏繞组 大致内藏於一各別之數平行面上,相鄰近之繞組係連接 至一為所有平面所共用之步陏區域,其中,每一繞组由 一平面傳送至下一平面,該線圈繞组係由單一導體形 成’該導體具有一對連接部,連接部之第一部由最上之 燒组延伸’以及,諸端之另一端由繞组之最底繞組延 伸’該第一連接部由最上繞组向上延仲開,該第二連接 部沿著由最底繞組之向上弧形路徑至最上繞组,以提供 有效數t之堆疊繞组,其沿著步陏區域係大致均勻的。 42. 如申請專利範圍第41項所述之電漿反應器,其中上述 之31形路徑是使得第二連接最近似平行該接近最底繞 组之平行面,及最接近垂直於該接近最上繞組之平行 面。 43. —種電聚反應器,其至少包含: 一室包含一天花板; 一托架,用以支持一工件於該室中; 處理氣體入口設備: 一電感天線包含多數堆疊線繞組’每一線圏繞組内 藏大致於多數平行面之相關一個’相鄰平面之繞組係速 第71Τ 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) __;___!!_____W------‘—tr c請先《讀背面之注意事項再填寫本頁) A8 B8 C8 D8 、申請專利耗圍 接於一步階區域,該區域係為所有平面所共用,其中每 一繞組由一平面轉換至下一平面,該天線更包含一導體 連接至最底繞组,該繞组由該步階區域之一方位向上延 伸至該步陏區域之另一方位,向著最上繞组之平面。 44.如申請專利範圍第43項所述之反應器,其中上述之向 上延伸導體允許一向上彎曲弧形路徑。 (請先Μ讀背面之注意事項再填寫本頁) 訂 經濟部中央櫺準局貝工消費合作社印裝 第72頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐)
TW087110608A 1997-07-15 1998-06-30 Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners TW399396B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/893,393 US6074512A (en) 1991-06-27 1997-07-15 Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners

Publications (1)

Publication Number Publication Date
TW399396B true TW399396B (en) 2000-07-21

Family

ID=25401496

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087110608A TW399396B (en) 1997-07-15 1998-06-30 Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners

Country Status (5)

Country Link
US (2) US6074512A (zh)
EP (1) EP0892422A3 (zh)
JP (1) JP4299896B2 (zh)
KR (1) KR100369720B1 (zh)
TW (1) TW399396B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102084727A (zh) * 2008-07-04 2011-06-01 东京毅力科创株式会社 一种温度调节构造和使用温度调节构造的半导体制造装置
CN103843465A (zh) * 2011-10-13 2014-06-04 韩国科学技术院 等离子体装置和基板处理装置
CN107768223A (zh) * 2016-08-16 2018-03-06 Fei 公司 与等离子清洁机一起使用的磁体
TWI621150B (zh) * 2013-02-01 2018-04-11 蘭姆研究公司 電漿處理室、溫度控制介電窗、及其溫度控制方法
US9960011B2 (en) 2011-08-01 2018-05-01 Plasmart Inc. Plasma generation apparatus and plasma generation method

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
JP2000164565A (ja) * 1998-11-26 2000-06-16 Sony Corp 半導体製造装置
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
JP4580486B2 (ja) * 1999-09-13 2010-11-10 株式会社日立製作所 半導体処理装置
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6562189B1 (en) * 2000-05-19 2003-05-13 Applied Materials Inc. Plasma reactor with a tri-magnet plasma confinement apparatus
WO2002005308A2 (en) * 2000-07-06 2002-01-17 Applied Materials, Inc. A plasma reactor having a symmetric parallel conductor coil antenna
TW557532B (en) * 2000-07-25 2003-10-11 Applied Materials Inc Heated substrate support assembly and method
FR2814079B1 (fr) * 2000-09-15 2005-05-13 Absys Systeme de sterilisation par plasma
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20030084999A1 (en) * 2001-11-05 2003-05-08 Tokyo Electron Limited Apparatus and method for mitigating chamber resonances in plasma processing
US20030192646A1 (en) * 2002-04-12 2003-10-16 Applied Materials, Inc. Plasma processing chamber having magnetic assembly and method
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
DE20210328U1 (de) * 2002-07-04 2002-09-12 Eltro Gmbh Plasmareaktor
JP4302630B2 (ja) * 2002-07-26 2009-07-29 プラズマート カンパニー リミテッド 誘導結合型プラズマ発生装置
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7059268B2 (en) * 2002-12-20 2006-06-13 Tokyo Electron Limited Method, apparatus and magnet assembly for enhancing and localizing a capacitively coupled plasma
JP3969324B2 (ja) * 2003-02-27 2007-09-05 富士ゼロックス株式会社 カーボンナノチューブの製造装置
US7126808B2 (en) * 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US8236105B2 (en) 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7652223B2 (en) * 2005-06-13 2010-01-26 Applied Materials, Inc. Electron beam welding of sputtering target tiles
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
KR100798043B1 (ko) * 2005-12-16 2008-02-04 주식회사 래디언테크 플라즈마 처리 방법 및 장치
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
WO2007130811A2 (en) * 2006-05-05 2007-11-15 3M Innovative Properties Company Tubular terminal for a cable
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US20080000768A1 (en) * 2006-06-30 2008-01-03 Stimson Bradley O Electrically Coupled Target Panels
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR101383247B1 (ko) * 2007-09-01 2014-04-08 최대규 향상된 유도 결합 플라즈마 소스를 이용한 박막 식각 방법
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9953849B2 (en) 2008-06-20 2018-04-24 Varian Semiconductor Equipment Associates, Inc. Platen for reducing particle contamination on a substrate and a method thereof
US8681472B2 (en) * 2008-06-20 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Platen ground pin for connecting substrate to ground
CN102077320B (zh) * 2008-07-04 2013-01-23 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和介电体窗的温度调节机构
ES2359054B1 (es) * 2008-11-17 2012-04-02 CONSEJO SUPERIOR DE INVESTIGACIONES CIENT�?FICAS (CSIC) (Titular al 67%) Reactor de plasma.
CN102460650B (zh) * 2009-06-24 2014-10-01 佳能安内华股份有限公司 真空加热/冷却装置及磁阻元件的制造方法
US20100326602A1 (en) * 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
SG11201402553UA (en) * 2011-11-23 2014-09-26 Lam Res Corp Dual zone temperature control of upper electrodes
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9950387B2 (en) 2012-10-18 2018-04-24 Hypertherm, Inc. Plasma torch power circuit and cooling system
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN105655220B (zh) * 2014-11-12 2018-01-02 中微半导体设备(上海)有限公司 电感耦合型等离子体处理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102020124540B4 (de) 2020-09-21 2022-09-29 VON ARDENNE Asset GmbH & Co. KG Temperiervorrichtung und Vakuumanordnung
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB231197A (en) 1924-03-24 1925-08-06 Peter August Nordling Improvement in hooks and the like
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4498458A (en) * 1981-09-23 1985-02-12 Soper Louis H Solar heating panel
EP0082015A1 (en) * 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
CA1247757A (en) * 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
FR2583250B1 (fr) * 1985-06-07 1989-06-30 France Etat Procede et dispositif d'excitation d'un plasma par micro-ondes a la resonance cyclotronique electronique
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
GB8622820D0 (en) * 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
DE58904540D1 (de) * 1988-03-24 1993-07-08 Siemens Ag Verfahren und vorrichtung zum herstellen von aus amorphen silizium-germanium-legierungen bestehenden halbleiterschichten nach der glimmentladungstechnik, insbesondere fuer solarzellen.
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
DE3942964A1 (de) 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
WO1991010341A1 (en) 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
JPH06103683B2 (ja) * 1990-08-07 1994-12-14 株式会社東芝 静電吸着方法
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
FI915231A (fi) 1990-11-08 1992-05-09 Lonza Ag Mikrobiologiskt foerfarande foer framstaellning av hydroxylerade pyrazinderivat.
JP2794936B2 (ja) * 1990-11-20 1998-09-10 富士電機株式会社 プラズマ処理装置
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
ATE173405T1 (de) * 1991-07-10 1998-12-15 Takeda Chemical Industries Ltd Arzneimittel auf basis von hyaluronsäure
KR100297358B1 (ko) * 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
JP2621728B2 (ja) * 1992-02-21 1997-06-18 株式会社日立製作所 スパッタリング方法及びその装置
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JPH06196421A (ja) * 1992-12-23 1994-07-15 Sumitomo Metal Ind Ltd プラズマ装置
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5518547A (en) * 1993-12-23 1996-05-21 International Business Machines Corporation Method and apparatus for reducing particulates in a plasma tool through steady state flows
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
JPH07230897A (ja) * 1994-02-15 1995-08-29 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置
JPH07297176A (ja) * 1994-04-25 1995-11-10 Kokusai Electric Co Ltd プラズマ処理方法及びその装置
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3192351B2 (ja) * 1995-06-16 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102084727A (zh) * 2008-07-04 2011-06-01 东京毅力科创株式会社 一种温度调节构造和使用温度调节构造的半导体制造装置
US8968512B2 (en) 2008-07-04 2015-03-03 Tokyo Electron Limited Temperature adjusting mechanism and semiconductor manufacturing apparatus using temperature adjusting mechanism
US9960011B2 (en) 2011-08-01 2018-05-01 Plasmart Inc. Plasma generation apparatus and plasma generation method
CN103843465A (zh) * 2011-10-13 2014-06-04 韩国科学技术院 等离子体装置和基板处理装置
US9734990B2 (en) 2011-10-13 2017-08-15 Korea Advanced Institute Of Science And Technology Plasma apparatus and substrate-processing apparatus
TWI621150B (zh) * 2013-02-01 2018-04-11 蘭姆研究公司 電漿處理室、溫度控制介電窗、及其溫度控制方法
CN107768223A (zh) * 2016-08-16 2018-03-06 Fei 公司 与等离子清洁机一起使用的磁体

Also Published As

Publication number Publication date
KR100369720B1 (ko) 2003-03-17
KR19990013713A (ko) 1999-02-25
US6454898B1 (en) 2002-09-24
EP0892422A2 (en) 1999-01-20
JPH11154600A (ja) 1999-06-08
JP4299896B2 (ja) 2009-07-22
US6074512A (en) 2000-06-13
EP0892422A3 (en) 1999-04-14

Similar Documents

Publication Publication Date Title
TW399396B (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6095083A (en) Vacuum processing chamber having multi-mode access
TW552663B (en) Substrate support pedestal
TWI514461B (zh) 電漿處理腔室之可移動式接地環
CN108878247A (zh) 支撑单元和包括该支撑单元的基板处理设备
KR101265807B1 (ko) 개선된 반도체 프로세싱 균일성을 위한 열 전송 시스템
US6558508B1 (en) Processing apparatus having dielectric plates linked together by electrostatic force
TW526672B (en) Heater for heating a substrate in a processing gas within a processing reactor, multi-zone heater for heating a substrate in a processing gas within a processing reactor, and method of measuring clamping of a substrate to an electrostatic chuck
CN102105253B (zh) 高温静电卡盘和使用方法
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
US9947559B2 (en) Thermal management of edge ring in semiconductor processing
US20070079936A1 (en) Bonded multi-layer RF window
TW201220961A (en) Parasitic plasma prevention in plasma processing chambers
KR102089949B1 (ko) 기판 처리 장치 및 기판 처리 장치의 부품
KR20120000232U (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
KR100423629B1 (ko) 저항 가열 단일 웨이퍼 노
JP2014160790A (ja) 基板処理装置及び載置台
JPH11135296A (ja) マルチモードアクセスを有する真空処理チャンバ
TW455661B (en) Compact gate valve
WO2003046957A1 (en) Heated vacuum support apparatus
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US20210375586A1 (en) An advanced ceramic lid with embedded heater elements and embedded rf coil for hdp cvd and inductively coupled plasma treatment chambers
JP2005025982A (ja) 誘導加熱装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees