KR100369720B1 - 오버헤드솔레노이드안테나및모듈식플라즈마구속자석라이너를가지는유도결합된rf플라즈마반응기 - Google Patents

오버헤드솔레노이드안테나및모듈식플라즈마구속자석라이너를가지는유도결합된rf플라즈마반응기 Download PDF

Info

Publication number
KR100369720B1
KR100369720B1 KR10-1998-0027562A KR19980027562A KR100369720B1 KR 100369720 B1 KR100369720 B1 KR 100369720B1 KR 19980027562 A KR19980027562 A KR 19980027562A KR 100369720 B1 KR100369720 B1 KR 100369720B1
Authority
KR
South Korea
Prior art keywords
chamber
plasma
plasma reactor
reactor
ring
Prior art date
Application number
KR10-1998-0027562A
Other languages
English (en)
Other versions
KR19990013713A (ko
Inventor
케네쓰 콜린스
마이클 라이스
더글라스 버치버거
크레이그 로더릭
에릭 아스카리남
게르하르트 슈나이더
존 트로우
조슈아 추이
데니스 그리마드
제럴드 인
로버트 우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19990013713A publication Critical patent/KR19990013713A/ko
Application granted granted Critical
Publication of KR100369720B1 publication Critical patent/KR100369720B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 제 1 특징에 따르면, 플라즈마를 구속하기 위한 챔버와 상기 챔버와 연통하는 통로를 가지는 플라즈마 반응기는 제 1 모듈 하우징과 상기 하우징 내부의 제 1 플라즈마 구속 자석을 포함하는 상기 통로에 인접하게 배치된 제 1 제거가능한 플라즈마 구속 자석 라이너로 기능이 강화된다. 상기 플라즈마 반응기는 제 2 모듈 하우징과 제 2 플라즈마 구속 자석을 포함하는 상기 통로에 인접하게 배치된 제 2 제거가능한 플라즈마 구속 자석 라이너를 더 포함한다. 바람직하게, 상기 제 1 및 제 2 모듈은 상기 통로의 반대쪽에 배치된다. 더욱이, 상기 제 1 및 제 2 플라즈마 구속 자석은 상기 통로를 통한 플라즈마 전달 또는 누설을 방지하게 되는 자기 방향을 가진다. 바람직하게, 상기 모듈 하우징은 알루미늄과 같은 상대적으로 비자기적인 열도체를 포함하고, 챔버와 열적으로 접촉하고 있다. 냉각 장치가 챔버 바디에 열적으로 결합될 수 있고, 그 결과 제 1 플라즈마 구속 자석의 온도를 큐리 온도 이하로 유지한다. 반응기가 챔버 주변에 인접한 펌핑 환형부를 포함한다면, 통로는 챔버와 펌핑 환형부 사이의 연통로이다. 또한, 통로는 웨이퍼 슬릿 밸브 또는 가스 공급 인렛이 될 수 있다. 가스 공급 인렛은 챔버의 시일링을 통과하는 중앙 가스 공급부가 될 수 있다. 상기 모듈 하우징은 챔버 측벽에 안착될 수 있고 상기 챔버 시일링은 상기 모듈 하우징에 안착될 수 있다.

Description

오버헤드 솔레노이드 안테나 및 모듈식 플라즈마 구속 자석 라이너를 가지는 유도 결합된 RF 플라즈마 반응기{INDUCTIVELY COUPLED RF PLASMA REACTOR HAVING AN OVERHEAD SOLENOIDAL ANTENNA AND MODULAR CONFINEMENT MAGNET LINERS}
본 발명은 처리될 제품 위에 있는 반응기 챔버 시일링(ceiling)과 시일링에 인접한 유도 코일 안테나를 가지는 형태의 유도 결합 RF 플라즈마 반응기용 가열 및 냉각 장치에 관한 것이다.
본 출원은 공동 계류중인 미국 출원의 일부 연속 출원인 1996년 5월 13일에 제출되고 "오버헤드 솔레노이드 안테나를 가지는 유도 결합 RF 플라즈마 반응기"로 명명되고 케네스 에스. 콜린즈에 의한 미국 특허 출원 일련번호 제08/648,254호의 일부 연속 출원인, 1996년 10월 21일에 제출되고 "오버헤드 솔레노이드 안테나를 가지는 유도 결합된 RF 플라즈마 반응기용 열제어 장치"로 명명된 케네스 에스. 콜린즈에 의한 미국 특허 출원 일련번호 제08/733,555호의 일부 연속 출원이다.
(a) 1991년 6월 27일에 제출된 일련번호 제07/722,340호의 연속 출원인 1993년 4월 1일 제출된 일련번호 제08/041,796호의 연속 출원인 케네스 에스. 콜린즈 등에 의한 1995년 12월 20일 출원된 일련번호 제08/580,026호.
(b) 1993년 10월 15일 제출된 일련번호 제08/138,060의 분할 출원인 마이클 라이스 등에 의한 1995년 7월 18일 제출된 일련번호 제08/503,467호.
(c) 1992년 12월 1일 제출된 일련번호 제07/984,045호(현재 포기)의 연속 출원인 1994년 8월 11일 제출된 일련번호 제08/289,336의 일부 연속 출원이 되는 1995년 8월 31일 제출된 일련번호 제08/521,668호(현재 포기)의 일부 계속 출원인 케네스 콜린즈에 의한 1996년 2월 2일 출원된 일련번호 제08/597,577호. 이외에, 발명의 명칭이 "중합체 고형화 선구물질 재료의 가열 소스를 갖는 플라즈마"이고 케네스 에스. 콜린즈 등에 의해 1996년 5월 13일 제출된 미국 출원 일련번호 제08/648,256호가 있다.
플라즈마 처리 챔버, 특히 고밀도 플라즈마 처리 챔버에서, RF(무선 주파수) 전력은 처리 챔버 내에 플라즈마를 발생하여 유지하는데 사용된다. 이미 참조된 출원에 상세히 개시된 바와 같이, 종종 처리 조건에 의해 부과된 시간 변화 열부하, 또는 다른 시간 변화 경계 조건에 무관하게 처리 챔버내의 표면 온도를 제어할 필요가 있다. 윈도우/전극이 반도전 재료인 일부의 경우에, 윈도우의 적당한 전기적 특성을 얻을 수 있는 온도 범위 내에서 윈도우/전극의 온도 제어가 요구될 수 있다. 즉, 윈도우와 전극으로서 동시에 기능하는 윈도우/전극에 대하여, 전기 저항은 반도체에 대한 온도 함수가 되고, 윈도우/전극의 온도는 온도 범위 내에서 최상으로 동작된다. 플라즈마를 발생시켜 유지하는 RF 전력의 인가는 윈도우(RF 또는 마이크로파 전력의 유도 또는 전자기 결합을 위해 사용됨) 또는 전극(RF 전력의 정전 또는 정전기적 결합을 위해, 또는 RF 전력의 정전 또는 정전기적 결합을 위한 접지 또는 귀환 경로를 차단 또는 제공하기 위해 사용됨) 또는 윈도우/전극의 조합을 포함하여 챔버내의 표면 가열을 초래한다. 이런 표면의 가열은 1) 이온 또는 전자 충돌, 2) 여기종(excited species)으로부터 방출된 광 흡수, 3) 전자기 또는 정전기 필드로부터의 직접 전력 흡수, 4) 챔버내의 다른 표면으로부터의 방사, 5) 전도(전형적으로 낮은 중성 가스 압력에서의 작은 효과), 6) 대류(전형적으로 낮은 유량 속의 작은 효과), 7) 화학반응(에를 들면, 플라즈마의 활성종과의 반응에 기인하는 윈도우 또는 전극의 표면에서의)에 기인하여 발생한다.
플라즈마 챔버로 수행되는 처리에 의존하여, 윈도우 또는 전극이 이미 개시된 바와 같은 열의 내부 소스에 기인하여 도달할 온도 이상의 온도까지 윈도우 또는 전극을 가열하는 것이 필요로 될 수 있고, 또는 윈도우 또는 전극이 동작 처리 또는 처리 순서의 일부 다른 부분동안 열의 내부 소스에 기인하여 도달할 온도 이하의 온도까지 윈도우 또는 전극을 냉각하는 것이 필요로 될 수 있다. 이런 경우에, 윈도우 또는 전극 내로 열을 결합하기 위한 방법과 윈도우 또는 전극 외부로 열을 결합하는 방법이 요구된다.
처리 챔버 외부로부터 윈도우/전극을 가열하기 위한 시도는,
1. 방사의 외부 소스(예를 들면, 램프 또는 방사 가열기, 또는 유도적 가열 소스)에 의한 윈도우/전극의 가열,
2. 대류의 외부 소스(예를 들면, 방사, 전도, 또는 대류에 의해 가열되는 강제(forced) 유체)에 의한 윈도우/전극의 가열, 및
3. 전도의 외부 소스(예를 들면, 저항성 가열기)에 의한 윈도우/전극의 가열을 포함한다.
어떠한 냉각 수단도 가지지 않는 앞서 말한 가열 방법은 윈도우 또는 전극 동작에 유용한 온도 범위를 윈도우 또는 전극이 열만의 내부 소스에 기인하여 도달할 수 있는 온도 이상의 온도로 제한한다.
처리 챔버 외부로부터 윈도우/전극을 냉각하기 위한 시도는,
1. 더 차가운 외부 표면으로의 방사에 의한 윈도우/전극의 냉각,
2. 외부 표면 대류(예를 들면, 자연 또는 강제)에 의한 윈도우/전극의 냉각, 및
3. 외부 흡열부로의 전도에 의한 윈도우/전극의 냉각을 포함한다.
내부 가열 소스 외에 어떠한 가열 수단도 가지는 않는 앞서 말한 냉각 방법은 윈도우 또는 전극 동작에 유용한 온도 범위를 윈도우 또는 전극이 열만의 내부 소스에 기인하여 도달할 수 있는 온도 미만의 온도로 제한한다.
부가적으로 앞서 말한 냉각 방법은 다음과 같은 문제점을 가진다.
1. 방사에 의한 윈도우/전극의 냉각은 방사 전력의 T4의존성에 기인하는 낮은 온도의 낮은 열 전달비(여러 경우에 요구된 윈도우 또는 전극 온도 범위와 윈도우 또는 전극의 내부 가열 속도에 불충분한)로 제한되는데, 여기에서 T는 표면 방사 또는 흡수 열의 절대 (켈빈) 온도이다.
2. 대류의 외부 소스에 의한 윈도우/전극 냉각은 높은 열전도성, 및 높은 흐름 속도가 사용될 때 밀도와 비열의 높은 생성을 갖는 액체를 사용함으로써 큰 열 전달비를 제공할 수 있지만, 액체 대류 냉각은 다음의 문제점을 가진다.
A) 동작의 최대 온도가 온도(예를 들면, 비등점)에 대한 액체의 증기압 의존성에 의해 제한된다(위상 변화가 허용되지 않는다면, 고유 문제점, 예를 들어 위상 변화의 제어 범위가 없는 고정된 온도와 안전 문제를 가진다).
B) 액체 전기적 특성에 의존하는 전기적 환경과 액체 냉각의 불일치.
C) 반응기 구조적 엘리먼트와 접촉하는 액체와의 일반적 통합 문제. 대류의 외부 소스(예를 들면, 냉각용 가스)에 의한 윈도우 또는 전극의 냉각은 여러 경우에 요구된 윈도우 또는 전극 온도 범위와 윈도우 또는 전극의 내부 가열 속도에 대한 불충분한 낮은 열 전달비로 제한된다.
3. 윈도우 또는 전극 사이의 접촉 저항과 흡열부가 충분히 낮다면, 외부 흡열부로 전도에 의한 윈도우/전극의 냉각은 높은 열 전달비를 제공할 수 있지만, 낮은 접촉 저항은 실제로 얻기 어렵다.
처리 챔버 외부로부터 윈도우/전극을 가열 및 냉각하기 위한 시도는 외부 흡열부로 전도에 의한 윈도우/전극의 냉각과 함께 전도의 외부 소스(예를 들면, 저항성 가열기)에 의한 윈도우/전극의 가열을 포함한다. 하나의 실시예에서, 상기 구조물은 윈도우 전극의 외부 표면에 인접한 윈도우 또는 전극이 가열기 플레이트(매립된 저항성 가열기를 갖는 플레이트)를 가지는 것이다. 부가적으로, 흡열부(전형적으로 냉각된 액체)는 윈도우 또는 전극으로부터 가열기 플레이트의 반대쪽 근처에 배치된다. 접촉 저항은 윈도우 또는 전극과 가열기 플레이트 사이, 및 가열기 플레이트와 흡열부 사이에 존재한다. 윈도우 또는 전극 온도의 자동 제어와 통합된 시스템에서, 온도 측정은 제어될 윈도우 또는 전극으로 (연속적으로 또는 정기적으로) 형성되고, 온도 측정은 설정점 온도와 비교되며, 측정된 온도와 설정점 온도 차이에 기초하여 제어기는 제어 알고리즘을 통해 얼마나 많은 전력이 저항성 가열기에 인가되는지, 또는 선택적으로 얼마나 많은 냉각이 흡열부에 인가되는지를 결정하며, 제어기는 출력 트랜스듀서가 결정된 가열 또는 냉각 레벨을 출력하도록 명령한다. 상기 과정은 (연속적으로 또는 주기적으로) 설정점 온도에 대한 윈도우 또는 전극 온도의 다소의 요구된 정도의 수렴이 발생할 때까지 반복되며, 제어 시스템은 내부 가열 또는 냉각 레벨의 변화, 또는 설정점 온도의 변화에 기인하는 가열 또는 냉각 요구의 변화에 응답하도록 활동적인 상태를 유지한다. 윈도우 또는 전극의 온도를 제어하는 시스템의 냉각 능력을 제한하는 접촉 저항 문제 이외에, 상기 시스템은 내부 가열 또는 냉각 부하가 플라즈마 반응기 동작동안 변화할 때 요구되는 바와 같은 윈도우 또는 전극으로부터 흡열부로의 전달 열의 시간 지체를 표시한다. 이것은 부분적으로 윈도우 또는 전극과 가열기 사이의 접촉 저항, 가열기와 흡열부 사이의 접촉 저항, 및 가열기와 윈도우 또는 전극의 열적 캐패시턴스 때문이다. 예를 들면, 내부 열부하가 처리 또는 처리 순서중 증가될 때 상기 시스템은 윈도우 또는 전극 온도의 증가를 측정함으로써 증가를 감지한다. 이미 개시된 바와 같이, 상기 시스템은 윈도우 또는 전극 온도의 증가에 응답하여 가열기 전력을 감소시키거나 또는 냉각 전력을 증가시키지만, 윈도우 또는 전극과 가열기 사이의 접촉 저항에 걸쳐 윈도우 또는 전극을 통해, 그리고 가열기와 흡열부사이의 접촉 저항에 걸쳐 가열기 플레이트를 통해 확산하는 열지체 시간이 있다. 부가적으로, 가열기에 "저장"된 "초과" 열 에너지는 가열기와 흡열부사이의 접촉 저항에 걸쳐 확산한다.
이런 지체는 내부 가열 또는 냉각 부하 변화와 같은 윈도우 또는 전극의 온도 제어를 더욱 어렵게 하고, 전형적으로 설정점에 대하여 약간의 윈도우 또는 전극 온도 변동을 초래한다.
윈도우 또는 윈도우/전극(전자기 또는 유도 RF 또는 마이크로파 전력이 윈도우 또는 윈도우/전극을 통해 챔버 외부로부터 챔버 내부에 결합될 수 있도록 하는 형태의)에 대한 추가 문제점은 열 전달장치(가열기 및/또는 흡열부)의 존재가 전자기 또는 유도 RF 또는 마이크로파 전력의 결합과 간섭하고, 및/또는 RF 또는 마이크로파 전력 결합 장치의 존재가 가열기 및/또는 흡열부와 윈도우 또는 윈도우/전극사이의 열 전달과 간섭한다는 것이다.
그러므로, 윈도우 또는 전극 또는 윈도우 전극의 가열 및/또는 냉각을 추구하는 방법이 윈도우 또는 전극 또는 윈도우/전극의 온도가 설정점에 충분히 가깝게 제어되어 요구된 처리 또는 처리 순서가 챔버내의 내부 가열 또는 냉각 부하의 변화 또는 다른 경계 조건의 변화에 무관하게 플라즈마 처리 챔버 내에서 수행될 수 있도록 플라즈마 처리 챔버에 사용된다.
부가적으로, 윈도우 또는 윈도우/전극의 가열 및/또는 냉각을 추구하는 방법이 챔버내의 윈도우 또는 윈도우/전극을 통한 전자기 또는 유도 RF 또는 마이크로파 전력의 결합에 대한 간섭 없이 윈도우 또는 전극 또는 윈도우/전극의 온도가 설정점에 충분히 가깝게 제어되어 요구된 처리 또는 처리 순서가 챔버내의 내부 가열 또는 냉각 부하의 변화 또는 다른 경계 조건의 변화에 무관하게 플라즈마 처리 챔버 내에서 수행될 수 있도록 플라즈마 처리 챔버에 사용된다.
부가적으로, 전극 또는 윈도우/전극의 가열 및/또는 냉각을 추구하는 방법이 RF 전력의 정전 또는 정전기적 결합과 간섭 없이, 또는 RF 전력의 정전 또는 정전기적 결합을 위한 접지 또는 귀환 경로의 차단 또는 제공과 간섭 없이, 전자기 또는 유도 RF 또는 마이크로파 전력의 결합과 간섭 없이 전극 또는 윈도우/전극의 온도가 설정점에 충분히 가깝게 제어되어 요구된 처리 또는 처리 순서가 챔버내의 내부 가열 또는 냉각 부하의 변화 또는 다른 경계 조건의 변화에 무관하게 플라즈마 처리 챔버 내에서 수행될 수 있도록 플라즈마 처리 챔버에 사용된다.
부가적으로, 윈도우 또는 전극 또는 윈도우/전극의 가열 및/또는 냉각을 추구하는 방법이 RF 전력의 정전 또는 정전기적 결합과 간섭 없이, 또는 RF 전력의 정전 또는 정전기적 결합을 위한 접지 또는 귀환 경로의 차단 또는 제공과 간섭 없이, 윈도우 또는 윈도우/전극을 통한 전자기 또는 유도 RF 또는 마이크로파 전력의 결합과 간섭 없이 전극 또는 윈도우/전극의 온도가 설정점에 충분히 가깝게 제어되어 요구된 처리 또는 처리 순서가 챔버내의 내부 가열 또는 냉각 부하의 변화 또는 다른 경계 조건의 변화에 무관하게 플라즈마 처리 챔버 내에서 수행될 수 있도록 플라즈마 처리 챔버에 사용된다.
본 발명의 목적은 작은 안테나 대 제품 갭을 가지는 플라즈마 반응기에서, 유도성 안테나 패턴 중앙 널에 대응하는 제품의 중앙 영역 근처의 플라즈마 이온 밀도 감소를 최소화하기 위하여, 중앙 영역에서 유도 전계의 크기를 증가시키는 것이다.
도 1은 일반적으로 평면 코일 안테나를 사용하는 공동 계류중인 미국 특허에서 사용된 형태의 유도 결합 플라즈마 반응기의 측단면도.
도 2는 압력(토르)의 함수(수평축)로서 플라즈마의 유도 필드 외장 깊이(cm)(실선)와 전자 대 중성자 탄성 충돌 평균 자유 경로 길이(점선)의 대수 비교 그래프.
도 3a는 4인치의 제품 대 시일링 높이에 관련하여 도 1의 반응기에서 제품 중앙에 대한 방사 위치 함수로서 플라즈마 이온 밀도의 그래프를 도시하는데, A 및 B의 곡선은 각각 외부 및 내부 코일 안테나에 의해 생성된 플라즈마 이온 밀도에 대응함.
도 3b는 3인치의 제품 대 시일링 높이에 관련하여 도 1의 반응기에서 제품 시일링에 대한 방사 위치 함수로서 플라즈마 이온 밀도 그래프를 도시하는데, A 및 B의 곡선은 각각 외부 및 내부 코일 안테나에 의해 생성된 플라즈마 이온 밀도에 대응함.
도 3c는 2.5인치의 제품 대 시일링 높이에 관련하여 도 1의 반응기에서 제품중앙에 관련한 방사 위치의 함수로서 플라즈마 이온 밀도 그래프를 도시하는데, A 및 B의 곡선은 각각 외부 및 내부 코일 안테나에 의해 생성된 플라즈마 이온 밀도에 대응함.
도 3d는 1.5인치의 제품 대 시일링 높이에 관련하여 도 1의 반응기에서 제품 중앙에 관련한 방사 위치의 함수로서 플라즈마 이온 밀도 그래프를 도시하는데, A 및 B의 곡선은 각각 외부 및 내부 코일 안테나에 의해 생성된 플라즈마 이온 밀도에 대응함.
도 3e는 0.8인치의 제품 대 시일링 높이에 관련하여 도 1의 반응기에서 제품 중앙에 관련한 방사 위치의 함수로서 플라즈마 이온 밀도 그래프를 도시하는데, A 및 B의 곡선은 각각 외부 및 내부 코일 안테나에 의해 생성된 플라즈마 이온 밀도에 대응함.
도 4a는 단일 3차원 중앙 수직형 솔레노이드 권선을 사용하는 플라즈마 반응기의 측단면도.
도 4b는 솔레노이드 권선을 감는 바람직한 방법을 도시한 도 4a의 반응기 일부분 확대도.
도 4c는 도 4a에 대응하지만 돔형 시일링을 가지는 플라즈마 반응기의 측단면도.
도 4d는 도 4a에 대응하지만 원뿔형 시일링을 가지는 플라즈마 반응기의 측단면도.
도 4e는 도 4d에 대응하지만 잘려진 원뿔형 시일링을 가지는 플라즈마 반응기의 측단면도.
도 5는 내부 및 외부에 수직형 솔레노이드 권선을 사용하는 플라즈마 반응기의 측단면도.
도 6은 외부 권선이 수평으로 배치된 도 5에 따른 플라즈마 반응기의 측단면도.
도 7a는 중앙 솔레노이드 권선이 다수의 수직 실린더형 권선으로 구성된 도 4에 대응하는 플라즈마 반응기의 측단면도.
도 7b는 도 7a의 실시예의 제 1 실행을 위한 상세도.
도 7c는 도 7a의 실시예의 제 2 실행을 위한 상세도.
도 8은 내부 및 외부 권선이 둘다 다수의 수직 실린더형 권선으로 구성된 도 5에 대응하는 플라즈마 반응기의 측단면도.
도 9는 내부 권선이 다수의 수직 실린더형 권선으로 구성되고 외부 권선이 단일의 수직 실린더형 권선으로 구성된 도 5에 대응하는 플라즈마 반응기의 측단면도.
도 10은 단일 솔레노이드 권선이 최대 플라즈마 이온 밀도의 균일성을 위해 최적 방사 위치에 배치되는 플라즈마 반응기의 측단면도.
도 11은 솔레노이드 권선이 반전된 원뿔형인 도 4a에 대응하는 플라즈마 반응기의 측단면도.
도 12는 솔레노이드 권선이 수직 원뿔형인 도 4a에 대응하는 플라즈마 반응기의 측단면도.
도 13은 솔레노이드 권선이 수직 실린더형부 및 외부 수평부로 구성된 도 4a에 대응하는 플라즈마 반응기의 측단면도.
도 14는 솔레노이드 권선이 반전된 원뿔부와 수평부로 구성된 도 10에 대응하는 플라즈마 반응기의 측단면도.
도 15는 솔레노이드 권선이 수직 원뿔부 및 수평부 둘다를 포함하는 도 12에 대응하는 플라즈마 반응기의 측단면도.
도 16은 평면, 원뿔형 및 돔형 조합의 시일링 엘리먼트를 도시한 도면.
도 17a는 각각 바이어스된 실리콘 측벽 및 시일링과 제공된 전기 가열기를 도시하는 도면.
도 17b는 각각 바이어스된 내부 및 외부 실리콘 시일링부와 제공된 전기 가열기를 도시하는 도면.
도 18은 도 5의 열전도성 토러스의 각 표면에 열전도성 가스 인터페이스를 가지는 본 발명의 제 1 실시예를 도시하는 단면도.
도 19는 반도체 윈도우 전극이 적층된 열전도성 원환체의 각 표면에 열전도성 가스 인터페이스를 가지는 본 발명의 제 2 실시예를 도시하는 단면도.
도 20은 도 5의 열전도성 원환체의 각 표면에 열전도성 고체 인터페이스 재료를 가지는 본 발명의 제 3 실시예를 도시하는 단면도.
도 21은 반도체 윈도우 전극이 적층된 열전도성 원환체의 각 표면에 열전도성 고체 인터페이스 재료를 가지는 본 발명의 제 4 실시예를 도시하는 단면도.
도 22는 도 5의 일회용 실리콘 함유 링이 냉각 플레이트와 일회용 실리콘 링사이에 열전도성 가스 인터페이스를 갖는 냉각 플레이트에 의해 냉각되는 본 발명의 제 5 실시예를 도시하는 단면도.
도 23은 도 5의 일회용 실리콘 함유 링이 냉각 플레이트와 일회용 실리콘 링 사이에 열전도성 고체 인터페이스 재료를 갖는 냉각 플레이트에 의해 냉각되는 본 발명의 제 6 실시예를 도시하는 단면도.
도 24는 챔버 벽과 내부 챔버 라이너가 열전도 경로에 걸친 인터페이스에서 열전도성 가스를 사용하여 냉각되는 본 발명의 제 7 실시예를 도시하는 단면도.
도 25는 인터페이스가 열전도성 가스 대신에 고체 열전도성 층으로 각각 채워지는 도 24의 실시예의 변형을 도시하는 도면.
도 26은 링이 열전도성 가스를 밀봉하도록 정전기적으로 클램핑되는 도 22의 실시예를 도시하는 도면.
도 27은 모듈식 플라즈마 구속 자석 라이너를 포함하는 플라즈마 반응기의 다른 특징을 구현하는 플라즈마 반응기를 도시하는 도면.
도 28은 자석이 라이너 내에 어떻게 밀봉되는지를 설명하는, 모듈식 플라즈마 구속 자석 라이너의 일부 확대도.
도 29는 열팽창을 허용하는 슬릿을 가지는 도 27의 반응기에 사용되는 가열된 실리콘 링을 도시하는 도면.
도 30은 반응기 방위각상 균일한 수의 효율적 권선을 가지는 도 27의 반응기에 사용된 유도성 안테나를 도시하는 도면.
도 31a 내지 도 31e는 도 27의 반응기에 사용된 한 쌍의 플라즈마 구속 자석쌍에 대한 서로 다른 자기 방향을 도시하는 도면.
*도면의 주요 부분에 대한 부호의 설명*
50 : 챔버 측벽52 : 실리콘 시일링
60 : 펌핑 환형부74 : 냉각 플레이트
75 : 열전도성 링80, 82 : 플라즈마 구속 자석
2010, 2020 : 자석 라이너 모듈2030 : 개구부
2040 : 결합층2050 : 커버
2060 : 용접층2092 : 오버헤드 중앙 가스 공급부
본 발명의 제 1 특징에 따르면, 플라즈마를 구속하기 위한 챔버와 상기 챔버와 연통하는 통로를 가지는 플라즈마 반응기는 제 1 모듈 하우징과 상기 하우징 내부에 제 1 플라즈마 구속 자석을 포함하는 상기 통로에 인접하게 배치된 제 1 제거가능한 플라즈마 구속 자석 라이너로 기능이 강화된다. 상기 플라즈마 반응기는 제 2 모듈 하우징과 제 2 플라즈마 구속 자석을 포함하는 상기 통로에 인접하게 배치된 제 2 제거가능한 플라즈마 구속 자석 라이너를 더 포함한다. 바람직하게, 상기 제 1 및 제 2 모듈은 상기 통로의 반대쪽에 배치된다. 더욱이, 상기 제 1 및 제 2 플라즈마 구속 자석은 상기 통로를 통한 플라즈마의 전달 또는 누설을 방지하게 되는 자기 방향을 가진다. 바람직하게, 상기 모듈 하우징은 알루미늄과 같은 상대적으로 비자기적인 열도체를 포함하고, 챔버와 열적으로 접촉하고 있다. 냉각 장치가 챔버 바디에 열적으로 결합될 수 있고, 그 결과 제 1 플라즈마 구속 자석의 온도를 큐리 온도 이하로 유지할 수 있다. 반응기가 챔버 주변에 인접한 펌핑 환형부를 포함한다면, 상기 통로는 챔버와 펌핑 환형부 사이의 연통로가 된다. 또한, 상기 통로는 웨이퍼 슬릿 밸브 또는 가스 공급 인렛이 될 수 있다. 가스 공급인렛은 챔버의 시일링을 통과하는 중앙 가스 공급부가 될 수 있다. 상기 모듈 하우징은 챔버 측벽에 안착될 수 있고 상기 챔버 시일링은 상기 모듈 하우징에 안착될 수 있다.
바람직하게, 상기 모듈 하우징은 개구부를 포함하는데, 상기 개구부 내에는 제 1 자석이 배치되어 있고, 상기 모듈 하우징은 상기 개구부 내부의 내부 표면에 제 1 자석을 부착하는 결합층 및 제 1 모듈 하우징내부에 제 1 자석을 밀봉하도록 개구부를 커버하는 커버 플레이트를 더 포함한다.
본 발명의 제 2 특징에 따르면, 시일링, 상기 시일링의 상부에 안착되는 다수의 반도체 냉각링 및 상기 다수의 반도체 냉각링 위에 놓이는 냉각 플레이트를 포함하는 플라즈마 반응기는 상기 다수의 반도체 냉각링과 그라포일(Grafoil) 같은 냉각 플레이트 사이의 탄성적으로 변형가능한 열적 도전층으로 기능이 강화된다. 부가적으로, RF 반사기 코팅이 탄성적으로 변형가능한 열적 도전층과 냉각 플레이트 사이에 배치될 수 있다. 상기 RF 반사기 코팅은 바람직하게 열전도성 및 전기 도전성이고, 알루미늄일 수 있으며, 냉각 플레이트에 대한 RF 전력의 분로를 방지한다.
본 발명의 제 3 특징에 따르면, 플라즈마 반응기는 반응기 챔버, 챔버 내부에 제품을 지지하기 위한 정전기 척, 및 척이 제품을 지지할 수 있는 평면으로 정전기 척을 통해 연장하는 다수의 반도체 접지 핀을 포함하고, 상기 핀은 핀이 제품과 접촉할 수 있는 연장된 위치와 후퇴 위치 사이에서 이동 가능하다. 상기 반응기는 핀을 지지하는 프레임을 더 포함할 수 있고, 상기 프레임은 접지 전위와 프레임을 이동시키기 위한 액추에이터에 접속가능하여 연장 위치와 후퇴 위치 사이에서 핀을 운반한다. 예를 들면, 상기 핀은 실리콘 또는 실리콘 카바이드가 될 수 있다.
본 발명의 제 4 특징에 따르면, 반도체 칼라(collar)가 정전기 척의 주변에 형성될 수 있다. 상기 반도체 칼라는 화학 기상 증착에 의해 정전기 척의 주변에 형성될 수 있는 실리콘 카바이드일 수 있다. 부가적으로, 상기 반응기는 정전기 척을 둘러싸는 가열된 반도체 링을 더 포함할 수 있다. 상기 가열된 반도체 링은 상기 가열된 반도체 링의 열팽창을 허용하도록 방사형 슬릿을 가질 수 있다.
본 발명의 제 5 특징에 따르면, 플라즈마 반응기는 다수의 적층 코일 권선을 포함하는 유도성 안테나를 포함하는데, 각각의 코일 권선은 일반적으로 개별적인 복수의 평행 평면 내에 존재하고, 인접한 평면내의 권선은 모든 평면에 공통인 스텝 영역에 접속되는데, 각각의 권선은 하나의 평면으로부터 다음 평면으로 통과하고, 상기 코일 권선은 한 쌍의 접속 섹션을 가지는 단일 도체로 형성되고, 상기 접속 섹션 중 제 1 섹션은 가장 상부의 권선으로부터 연장하고, 상기 접속 섹션 중 제 2 섹션은 가장 하부의 권선으로부터 연장하고, 상기 제 1 접속 섹션은 가장 상부의 권선으로부터 상향으로 연장하고, 제 2 접속 섹션은 일반적으로 스텝 영역을 따라 균일한 효율적인 수의 적층 권선을 제공하도록 가장 하부의 권선으로부터 가장 상부의 권선까지 상향으로 아치형 경로를 따라간다.
안테나 대 제품의 작은 갭을 가지는 플라즈마 반응기에서, 유도성 안테나 패턴 중앙 널에 대응하는 제품의 중앙 영역 근처 플라즈마 이온 밀도 감소를 최소화하기 위하여, 중앙 영역에서 유도 전계의 크기를 증가시키는 것이 본 발명의 목적이다. 본 발명은 안테나의 대칭축 근처 시일링 위에 놓여있는 유도 코일의 감김(turn)을 집중시키고 중앙 영역에서 안테나와 플라즈마 사이의 자속 결합의 변화율(RF 소스 주파수로)을 최대화함으로써 달성된다.
본 발명에 따르면, 대칭축을 둘러싼 솔레노이드 코일은 동시에 축 근처에 유도성 코일의 감김이 집중되며 제품에 인접한 중앙 영역에서 안테나 및 플라즈마 사이의 자속 결합에 대한 변화율을 최소로 한다. 이것은 중앙 영역에서 플라즈마에 대한 강한 플럭스 결합 및 밀접한 상호 결합을 위해 요구된 것처럼 감김 수가 많고 코일 반경이 작기 때문이다(대조적으로, 종래 평면 코일 안테나는 넓은 반경 영역 전반에 걸쳐 유도성 필드를 연장하여, 주변을 향해 외부로 방사 전력을 발산시킨다). 본 명세서에 개시된 것처럼, 솔레노이드형 안테나는 제품의 평면, 제품 지지표면 또는 상부 챔버 시일링에 관련하여 수직형 방식으로 분포되고, 또는 제품 지지용 평면(상기 챔버내의 제품 지지용 페데스탈에 의해 한정된)에 대해 가로로 서로 다른 거리로 이격되거나 상부 챔버 시일링에 대해 서로 다른 거리를 두고 가로로 이격된 다수의 유도성 엘리먼트를 갖는 안테나이다. 본 명세서에 개시된 것처럼, 유도성 엘리먼트는 챔버의 플라즈마 및/또는 상기 안테나의 다른 유도성 엘리먼트와 상호 유도적으로 결합된 전류 운반 엘리먼트이다.
본 발명의 바람직한 실시예는 중앙 근처에 한 솔레노이드를 가지고 외부 주변 반경에 다른 솔레노이드를 가진 이중 솔레노이드 코일 안테나를 포함한다. 두개의 솔레노이드는 다른 RF 주파수 또는 동일한 주파수에서 구동될 수 있으며, 이경우에 두개의 솔레노이드는 바람직하게 상(phase) 록킹되며, 더욱 바람직하게 이런 방식으로 이들의 필드가 구조적으로 상호 작용하도록 상 록킹된다. 내부 및 외부 솔레노이드 사이의 가장 큰 실제적 변위는 솔레노이드가 제품 중앙의 에칭 비율 대 제품 주변의 에칭 비율의 최대 다목적 제어를 제공하기 때문에 바람직하다. 당업자는 제품 전반에 걸쳐 균일한 에칭 비율을 제공하는(본 발명을 사용하여) 넓은 범위 또는 윈도우 처리를 얻기 위해 RF 전력, 챔버 압력 및 처리 가스 혼합물의 전기 음극성을 용이하게 변화시킬 수 있다(분자 및 불활성 가스의 적정 비를 선택함으로써 용이하게 변화시킬 수 있다). 바람직한 실시예의 개별 내부 및 외부 솔레노이드 사이의 최대 간격은 다음과 같은 장점을 제공한다.
(1) 최대 균일성 제어 및 조절;
(2) 한 솔레노이드의 필드에 대한 다른 솔레노이드의 간섭을 막는 필드의 내부 및 외부 솔레노이드 사이의 최대 절연; 및
(3) 시일링 온도 제어를 최적화하기 위해서 온도 제어 소자를 위한 시일링 위(내부 및 외부 솔레노이드 사이)의 최대 공간.
도 4a는 유도 필드의 외장 깊이가 갭 길이 정도인 것을 의미하는 제품 대 시일링의 짧은 갭을 가진 유도 결합된 RF 플라즈마 반응기의 단일 솔레노이드 실시예(바람직한 실시예가 아님)를 기술한다. 본 명세서에 개시된 것처럼, 갭 길이 정도가 되는 외장 깊이는 갭 길이의 10의 계수(즉, 10 나누기 및 10 곱하기 사이)내에 있다.
도 5는 유도 결합된 RF 플라즈마 반응기의 이중 솔레노이드 실시예를 기술하며 본 발명의 바람직한 실시예이다. 이중 솔레노이드 특징을 제외하고, 도 4a 및 도 5의 실시예에 대한 반응기 구조는 거의 동일하며 도 4a를 참조로 하여 지금부터 기술할 것이다. 반응기는 도 4a의 반응기가 안테나 대칭축(46) 근처에서 수직형 방식으로 밀집하게 집중되는 권선(44)을 갖는 수직형 코일 안테나(42)를 가진다는 점만 제외하고 도 1의 챔버와 유사한 실린더형 챔버(40)를 포함한다. 기술된 실시예에서 상기 권선(44)이 대칭적이고 상기 권선의 대칭축(46)이 챔버의 중앙축과 일치하는 반면에, 본 발명은 다르게 수행될 수 있다. 예를 들면, 권선은 비대칭적이며, 및/또는 권선의 대칭축은 일치하지 않을 수도 있다. 그러나, 대칭적인 안테나의 경우에, 안테나는 챔버의 중앙 또는 제품 중앙과 일치하는 안테나의 대칭축(46) 근처에 방사 패턴 널을 가진다. 상기 중앙축(46) 주위의 권선(44)의 밀집한 집중은 상기 널을 보상하며, 이들이 각각 챔버 중앙축(46)으로부터 최소 거리에 있도록 솔레노이드 방식으로 상기 권선(44)을 수직으로 적층함으로써 달성된다. 이것은 도 3d 및 도 3e를 참조로 하여 앞서 기술된 것처럼, 플라즈마 이온밀도는 제품 대 시일링의 짧은 높이에서 가장 약한 상기 챔버 중앙축(46) 근처의 전류(I) 및 코일 감김(N)의 적(product)을 증가시킨다. 결과적으로, 상기 수직형 코일 안테나(42)에 공급된 RF 전력은 웨이퍼 중앙에서 그리고 안테나 대칭축(46)에서 (주변영역에 관련하여) 가장 큰 유도 [d/dt][N*I]를 발생시키고, 얻어지는 플라즈마 이온 밀도가 제품 대 시일링의 작은 높이에도 불구하고 매우 균일하도록 그 영역에서 최대 플라즈마 이온 밀도를 생성한다. 그러므로, 본 발명은 처리 균일도의 희생 없이 강화된 플라즈마 처리 성능을 향상시키는 시일링 높이 감소 방법을 제공한다.
도 4b는 도 4a 및 도 5의 실시예에 사용된 권선의 바람직한 실시예를 기술한다. 상기 권선(44)이 적어도 상기 제품(56)에 거의 평면이 되도록, 상기 권선(44)은 바람직하게 일반적인 방식인 나선형으로 감기는 것이 아니라, 바람직하게 각각의 개별 감김이 감김 사이의 스텝 또는 전이부(44a)(한 수평 평면에서 다음 수평 평면까지)를 제외하고 상기 제품(56)의 (수평) 평면에 평행하도록 감겨진다.
실린더형 챔버(40)는 실린더형 측벽(50) 및 상기 측벽(50)과 일체형으로 형성된 실린더형 시일링(52)으로 이루어지고, 상기 측벽(50) 및 시일링(52)은 실리콘과 같은 단일 피스 재료를 구성한다. 그러나, 본 발명은 본 명세서에서 이하에 기술된 것처럼 개별 피스로 형성된 측벽(50) 및 시일링(52)으로 수행될 수도 있다. 상기 실린더형 시일링(52)은 평면(도 4a), 돔(도 4c), 원뿔형(도 4d), 절두 원뿔형(도 4e), 실린더형 또는 상기 형태 또는 회전 곡선의 조합과 같은 적절한 단면 모양으로 이루어 질 수 있다. 일반적으로, 상기 솔레노이드(42)의 수직 피치(즉, 솔레노이드(42)의 수평 폭에 의해 분할된 솔레노이드의 수직 높이)는 돔, 원뿔형, 절두 원뿔형 등과 같은 3차원 표면을 한정하는 시일링에서조차 상기 시일링(52)의 수직 피치를 초과한다. 본 발명의 바람직한 실시예의 목적은 본 명세서에서 이미 기술된 것처럼 안테나 대칭축 근처에 안테나의 유도를 집중시키는 것이다. 상기 시일링(52)의 피치를 초과하는 피치를 가진 솔레노이드는 일반적으로 솔레노이드의 형태가 시일링의 형태를 따르지 않으며, 특히 솔레노이드 수직 피치가 시일링의 수직 피치를 초과하는 것을 의미하는 비등각 솔레노이드로써 언급된다. 2차원 또는 평면 시일링은 제로의 수직 피치를 가지는 반면, 3차원 시일링은 제로가 아닌 수직 피치를 가진다.
상기 챔버(40)의 하부에 있는 페데스탈(54)은 처리 중에 제품 지지 평면에서
평면 제품(56)을 지지한다. 상기 제품(56)은 전형적으로 반도체 웨이퍼이며, 제품 지지 평면은 일반적으로 웨이퍼 또는 제품(56)의 평면이다. 상기 챔버(40)는 환형 통로(58)를 통해 상기 챔버(40)의 하부를 둘러싸는 펌핑 환형부(60)로의 펌핑에 의해 진공화된다. 상기 펌핑 환형부의 내부는 교체가능한 금속 라이너(60a)에 의해 라이닝될 수 있다. 상기 환형 통로(58)는 상기 실린더형 측벽(50)의 하부 에지(50a)와 페데스탈(54)을 둘러싸는 평면 링(62)에 의해 한정된다. 처리 가스는 하나 이상의 다양한 가스 공급원을 통해 상기 챔버(40)내에 공급된다. 제품 중앙 근처의 처리 가스 흐름을 제어하기 위해서, 중앙 가스 공급기(64a)는 상기 시일링(52)의 중앙을 통해 제품(56)의 중앙(또는 제품 지지평면의 주변)에서 아래로 연장될 수 있다. 상기 제품 주변(또는 제품 지지평면의 주변)의 가스 흐름을 제어하기 위해서, 상기 제어 가스 공급기(64a)와 무관하게 제어될 수 있는 다수의 방사 가스 공급기(64b)가 상기 제품 주변(또는 제품 지지평면의 주변)을 향해 상기 측벽(50)으로부터 방사상 내부로 연장되거나, 베이스 축 가스 공급기(64c)가 상기 제품 주변을 향해 상기 페데스탈(54)의 근처로부터 상향으로 연장되거나, 시일링 축 가스 공급기(64d)가 상기 제품 주변을 향해 하향으로 연장될 수 있다. 상기 제품 중앙 및 주변의 에칭 비율은 중앙 가스 공급기(64a) 및 외부 가스 공급기(64b-d) 각각을 통해 상기 제품 중앙 및 주변을 향한 처리 가스 흐름 속도를 제어함으로써 제품 전반에 걸쳐 방사상으로 균일한 에칭 속도를 달성하기 위해서 서로 개별적으로 조절될 수 있다. 본 발명의 이런 특징은 상기 중앙 가스 공급기(64a) 및 상기 주변 가스 공급기(64b-d)만으로 수행될 수 있다.
상기 솔레노이드 코일 안테나(42)는 상기 중앙 가스 공급기(64a)를 둘러싸는 하우징(66) 둘레에 감겨진다. 플라즈마 소스 RF 전력 공급원(68)은 상기 코일 안테나(42)에 접속되며, 바이어스 RF 전력 공급원(70)은 상기 페데스탈(54)에 접속된다.
상기 시일링(52)의 중앙 영역에 대한 상기 오버헤드 코일 안테나(42)의 제한은 하전되지 않은 상기 시일링(52)의 상부 표면의 상당한 부분을 남기고, 그러므로 텅스텐 할로겐 램프와 같은 다수의 방사 가열기(72)와 구리 또는 알루미늄으로 형성될 수 있고 냉각제 통로(74a)를 갖는 수냉식 냉각 플레이트(74)를 포함하는 온도 제어 장치와의 직접 접촉을 용이하게 한다. 바람직하게, 상기 냉각제 통로(74a)는 안테나 또는 솔레노이드(42)로의 전기적인 부하를 막기 위해서 높은 열 전도성 및 낮은 전기 전도성을 갖는 공지된 다양한 냉각제를 포함한다. 상기 냉각 플레이트(74)는 상기 방사 가열기(72)의 최대 전력이 필요에 따라 상기 냉각 플레이트(74)에 의한 냉각을 압도할 정도로 선택되는 경우에 상기 시일링(52)에 일정한 냉각을 제공하여 상기 시일링(52)의 민감하고 안정한 온도 제어를 용이하게 한다. 상기 가열기(72)에 의해 조사된 넓은 시일링 영역은 온도 제어의 상당한 균일성과 효율성을 제공한다(본 명세서에서 이하에 개시된 것처럼 방사 가열은 본 발명을 수행할 때 필수적으로 요구되지 않으며, 당업자는 전기 가열 엘리먼트의 사용을 선택할 수 있다). 케네스 에스. 콜린즈 등에 의해 1996년 2월 2일에 출원된 계류중인 미국 출원번호 제 08/597,577호에 개시된 것처럼 상기 시일링(52)이 실리콘이라면, 상기 시일링 전반에 걸쳐 온도 제어의 균일성과 효율성을 증가시킴으로써 얻어지는 중요한 장점을 가질 수 있다. 특히, 중합체 선구물질 재료 및 에천트 선구물질 재료 처리 가스(예를 들어, 플루오르화탄소 가스)가 사용되고 에천트(예를 들어, 플루오르)가 배기되어야 하는 곳에서, 전체 시일링(52) 전반에 걸친 중합체 증착 비율 및/또는 시일링(52)이 플루오르 에천트 제거 재료(실리콘)를 플라즈마로 공급하는 비율은 온도 제어 가열기(72)를 사용하여 상기 시일링(52)의 접촉 영역을 증가시킴으로써 양호하게 제어된다. 상기 솔레노이드 안테나(42)는 상기 솔레노이드 권선(44)이 상기 시일링(52)의 중앙축에 집중되기 때문에 상기 시일링(52)상의 이용가능한 접촉 영역을 증가시킨다.
열접촉을 위한 상기 시일링(52)상의 이용가능한 영역의 증가는 상기 시일링(52)상에 놓이는 하부 표면과 상기 냉각 플레이트(74)를 지지하는 상부 표면을 갖는 높은 열전도성 원환체(torus : 75)(알루미늄 질화물, 알루미늄 산화물 또는 실리콘 질화물과 같은 세라믹으로 형성되거나 저도핑 또는 도핑되지 않은 비세라믹형 실리콘으로 이루어짐)에 의해 바람직한 실시예에 이용된다. 상기 원환체(75)의 한 특징은 상기 원환체가 상기 솔레노이드(42)의 상부에서 상기 냉각 플레이트(74)를 치환한다는 것이다. 이런 특징은 마찬가지로 상기 냉각 플레이트(74)의 도전 평면에 가까운 주변으로부터 상기 솔레노이드(42)까지 발생할 수 있는 상기 솔레노이드(42)와 플라즈마 사이의 유도결합의 감소를 실질적으로 완화시키거나 거의 제거한다. 유도결합의 감소를 방지하기 위해서, 상기 냉각 플레이트(74)와 상기 솔레노이드(42)의 상부 권선 사이의 거리는 적어도 상기 솔레노이드(42) 전체 높이의 실질적 단편(예를 들어, 1/2)이 되는 것이 바람직하다. 상기 원환체(75)를 통해 연장하는 다수의 축 홀(75a)은 두개의 동심원을 따라 이격되며 다수의 방사 가열기 또는 램프(72)를 홀딩하고, 상기 가열기 또는 램프가 상기 시일링(52)을 직접 조사하게 한다. 가장 양호한 램프 효율을 위해서, 상기 홀의 내부 표면은 반사층(예를 들어, 알루미늄)으로 라이닝될 수 있다. 도 4a의 중앙 가스 공급기(64a)는 특정 반응기 설계 및 처리 조건에 따라 방사 가열기(72)(도 5에 도시된 것처럼)에 의해 대체될 수 있다. 상기 시일링 온도는 상기 램프 가열기(72)에 의해 점유되지 않는 홀(75a)중 한 홀을 통해 연장하는 열전쌍(76)과 같은 센서에 의해 감지된다. 양호한 열접촉을 위해, 보론 질화물과 주입된 실리콘 고무와 같은 높은 열전도성 탄성 중합체(elastomeric : 73)가 상기 세라믹 원환체(75) 및 상기 구리 냉각 플레이트(74) 사이, 그리고 상기 세라믹 원환체(75) 및 상기 실리콘 시일링(52) 사이에 배치된다.
이미 언급된 계류중인 출원에 개시된 바와 같이, 상기 챔버(40)는 모든 반도체 챔버일 수 있으며, 이 경우에 상기 시일링(52) 및 측벽(50)은 둘다 실리콘 또는 실리콘 카바이드와 같은 반도체 재료로 이루어진다. 상기 계류중인 미국 출원에 개시된 바와 같이, 상기 시일링(52) 또는 벽(50)중 하나의 온도를 제어하고 거기에 공급된 RF 바이어스 전력은 플루오르 제거 선구물질 재료(실리콘)를 플라즈마로 공급하는 영역, 또는 선택적으로 중합체로 코팅되는 범위를 조정한다. 상기시일링(52)의 재료는 실리콘에 제한되지 않으며, 대안으로 실리콘 카바이드, 실리콘 이산화물(수정), 실리콘 질화물, 알루미늄 질화물, 또는 알루미늄 질화물과 같은 세라믹이 될 수 있다.
이미 언급된 계류중인 미국 출원에 개시된 바와 같이, 상기 챔버벽(50) 또는 시일링(52)은 플루오르 제거 재료 소스로서 사용될 필요가 없다. 대신에, 일회용 반도체(예를 들면, 실리콘 또는 실리콘 카바이드) 부재가 상기 챔버(40) 내부에 배치되어 챔버 상의 중합체의 응축을 방지하기 위해 충분히 고온으로 유지될 수 있으며, 실리콘 재료가 플루오르 제거 재료로서 챔버로부터 플라즈마 내로 제거될 수 있도록 한다. 이런 경우에, 상기 벽(50) 및 시일링(52)은 필수적으로 실리콘일 필요가 없거나, 이들이 실리콘으로 이루어진다면 이들은 플라즈마로 소모되지 않도록 중합체로 코팅되어 중합체 응축 온도(및/또는 중합체 응축 RF 바이어스 임계값) 정도 또는 이하의 온도(및/또는 RF 바이어스)로 유지될 수 있다. 일회용 실리콘 부재는 임의 적절한 형태를 취할 수 있는 경우에, 도 4a의 실시예의 일회용 실리콘 부재는 페데스탈(54)을 둘러싸는 환형 링(62)이다. 바람직하게, 상기 환형 링(62)은 고순도의 실리콘이며 그것의 전기 또는 광학 특성을 변화시키기 위해서 도핑될 수 있다. 플라즈마 처리에서 유리한 관계(예를 들면, 플루오르 제거를 위한 플라즈마내의 실리콘 기여)를 보장하기에 충분한 온도로 상기 실리콘 링(62)을 유지하기 위해서, 상기 환형 링(62) 하부에 원형으로 배치된 다수의 방사 가열기(예를 들면, 텅스텐 할로겐 램프)(77)가 수정 윈도우(78)를 통해 상기 실리콘 링(62)을 가열한다. 이미 언급된 계류중인 미국 출원에 개시된 바와 같이, 상기 가열기(77)는광학 듀로미터(durometer) 또는 플루오르-광학 프로브와 같은 원격 센서일 수 있는 온도 센서(79)에 의해 감지된 상기 실리콘 링(62)의 측정된 온도에 따라 제어된다. 상기 센서(79)는 상기 링(62)의 매우 깊은 홀(62a)로 부분적으로 연장될 수 있으며, 상기 홀의 깊이와 폭은 적어도 부분적으로 상기 실리콘 링(62)의 열 방사에 의한 온도 의존 변화를 방지하려는 경향이 있고, 그 결과 더욱 신뢰가능한 온도 측정을 위한 그레이-바디(gray-body) 방열기처럼 동작한다.
이미 참조된 미국 출원번호 제 08/597,577호에 개시된 바와 같이, 모든 반도체 챔버의 장점은 플라즈마가 예를 들어 금속과 같은 오염 발생 재료와 접촉하지 않는다는 것이다. 이런 목적을 위해서, 환형 개구부(58)에 인접한 플라즈마 제한 자석(80, 82)은 펌핑 환형부(60)로의 플라즈마 흐름을 방지 또는 감소시킨다. 어느 정도까지, 임의의 중합체 선구물질 재료 및/또는 활성 종이 펌핑 환형부(60)로 유입될 수 있으며, 교체가능한 내부 라이너(60a)상에 증착되는 임의의 얻어지는 중합체 또는 오염물은 참조된 계류중인 출원에 개시된 바와 같이 중합체 응축 온도 이하의 온도로 상기 라이너(60a)를 유지함으로써 상기 플라즈마 챔버(40)로의 유입이 방지될 수 있다.
상기 펌핑 환형부(60)의 외부벽을 관통하는 웨이퍼 슬릿 밸브(84)는 웨이퍼의 진입 및 배출을 도모한다. 상기 챔버(40)와 상기 펌핑 환형부(60) 사이의 환형 개구부(58)는 비대칭 펌프의 위치와 더욱 대칭적인 챔버 압력의 분배를 형성하도록 실린더형 측벽(50)의 하부 에지의 경사에 의해 상기 웨이퍼 슬릿 밸브(84)에 인접하여 가장 크고 반대쪽에서 가장 작다.
상기 챔버 중앙축(46) 근처의 최대 상호 인덕턴스는 수직으로 적층된 솔레노이드 권선(44)에 의해 달성된다. 도 4a의 실시예에 있어서, 상기 권선(44)의 수직 적층 외부에 있지만 하부 솔레노이드 권선(44a)의 수평 평면 내에 있는 다른 권선(45)이 부가될 수 있으며, 상기 제공된 추가 권선(45)은 상기 하부 솔레노이드 권선(44a)에 근접한다.
이제 도 5의 바람직한 이중 솔레노이드 실시예를 참조하면, 외부 위치(즉, 열전도성 원환체(75)의 외부 원주 표면에 대향하는 위치)에 있는 권선(92)의 제 2 외부 수직 적층 또는 솔레노이드(90)는 상기 솔레노이드 권선(44)의 내부 수직 적층으로부터 반경 거리(δR)만큼 이격된다. 도 5에서, 내부 솔레노이드 안테나(42)를 중앙에 제한하고 외부 솔레노이드 안테나(90)를 주변에 제한하면, 도 4a에서와 같이 온도 제어 장치(72,74,75)와 직접 접촉하기에 유용한 시일링(52)의 상부 표면의 넓은 부분이 남는다. 장점은 상기 시일링(52)과 상기 온도 제어 장치 사이의 더 넓은 표면 영역 접촉부가 상기 시일링(52)의 더욱 효과적이고 더욱 균일한 온도 제어를 제공한다는 것이다.
상기 측벽(50)과 시일링(52)이 12.6인치(32㎝)의 내부 직경을 가진 실리콘의 단일 피스로 형성되는 반응기에 대해, 상기 웨이퍼 대 시일링 갭은 3인치(7.5㎝)이고, 0.03 두께 테플론 절연층으로 커버된 3/16인치 직경의 공동 구리관을 사용하여 상기 내부 솔레노이드의 평균 직경은 3.75인치(9.3㎝)인 반면 상기 외부 솔레노이드의 평균 직경은 11.75인치(29.3㎝)이며, 각각의 솔레노이드는 4번 감김으로 이루어지고 1인치(2.54㎝)의 높이가 된다. 상기 외부 스택 또는 솔레노이드(90)는 제2 개별적인 제어가능 플라즈마 소스 RF 전력 공급원(96)에 의해 에너지가 공급된다. 상기 목적은 확실히 유리하게 상기 웨이퍼 표면에 걸친 공지된 처리 비균일도를 보상하도록 서로 다른 사용자 선택 가능 플라즈마 소스 전력 레벨이 상기 제품 또는 웨이퍼(56)에 관련한 서로 다른 방사 위치에 인가되도록 하는 것이다. 상기 개별적 제어가능 중앙 가스 공급기(64a)와 주변 가스 공급기(64b-d)의 결합에서, 상기 제품 중앙에서의 에칭 수행은 상기 외부 솔레노이드(90)에 인가된 에칭 수행과 관련하여 상기 내부 솔레노이드(42)에 인가되는 RF 전력을 조절하고 상기 외부 가스 공급기(64b-d)를 통한 흐름 속도와 관련하여 상기 내부 솔레노이드(42)에 인가되는 RF 전력을 조절함으로써 상기 에지에서의 에칭 수행에 관련하여 조절될 수 있다. 본 발명은 이미 기술된 바와 같은 인덕턴스 필드의 중앙 널 또는 딥의 문제를 해결하거나 적어도 개선하는 반면, 다른 플라즈마 처리의 비균일도 문제가 있을 수 있고, 이것은 도 5의 다목적 실시예에서 상기 내부 및 외부 안테나(42,90)에 인가된 관련 RF 전력 레벨을 조절함으로써 보상될 수 있다. 보다 편리하게 상기 목적을 달성하기 위하여, 상기 내부 및 외부 솔레노이드(42, 90)를 위한 개별 RF 전력 공급원(68,96)은 사용자가 상기 내부 및 외부 솔레노이드(42,90)의 필드 사이의 고정된 위상 관계를 보존하면서 상기 내부와 외부 솔레노이드(42,90) 사이의 관련 전력의 할당을 변경할 수 있도록 하는 공통 전력 공급원(97a)과 전력 스플리터(97b)에 의해 대체될 수 있다. 이것은 특히 상기 2개의 솔레노이드(42,90)가 동일한 주파수로 RF 전력을 수신하는 경우에 중요하다. 마찬가지로, 상기 2개의 개별 전력 공급원(68,96)이 사용된다면, 이들은 서로 다른 RF주파수의 전력을 공급할 수 있게 되고, 2개의 솔레노이드 사이의 커플링으로부터 오프 주파수 피드백을 방지하도록 각각의 RF 전력 공급(68, 96)의 출력으로 RF 주파수를 초기화하는 것이 바람직하다. 이런 경우에, 상기 주파수 차이는 2개의 솔레노이드 사이의 외부 결합을 시간적으로 평균화하기에 충분하여야 한다. 게다가 상기 RF 필터의 불수용(rejection) 대역폭을 초과하여야 한다. 다른 옵션은 각각의 주파수가 상기 개별 솔레노이드에 개별적으로 공진하도록 정합시키는 것이다. 각각의 주파수는 일반적 임피던스 정합 기술 대신에 상기 플라즈마 임피던스의 흐름 변화(그 결과 공진을 유지함)로 변경될 수 있다. 다시 말해서, 상기 안테나에 인가된 RF 주파수는 상기 챔버내의 플라즈마의 임피던스에 의해 부하가 걸릴 때 안테나의 공진 주파수에 동조하도록 구현된다. 상기 실시예에서, 상기 2개의 솔레노이드의 주파수 범위는 서로 배타적이 되어야 한다. 그러나, 바람직하게 상기 2개의 솔레노이드는 동일 RF 주파수에서 구동되고 이런 경우에 2개 사이의 위상 관계는 2개의 솔레노이드의 필드의 구조적 상호 작용 또는 포갬을 일으키게 되는 것이 바람직하다. 일반적으로, 이런 요구는 이들이 둘다 동일 센스로 감겨진다면 2개의 솔레노이드에 인가된 신호 사이의 제로 위상각에 의해 충족될 것이다. 마찬가지로, 이들이 반대로 감겨진다면 상기 위상각은 바람직하게 180°이다. 어떤 경우에, 본 명세서에서 아래에 설명되는 바와 같이 상기 내부 및 외부 솔레노이드 사이의 결합은 상기 내부 및 외부 솔레노이드(42,90) 사이의 상당히 큰 범위를 가짐으로써 최소화되거나 제거될 수 있다.
상기 조절에 의해 달성할 수 있는 범위는 상기 내부 및 외부솔레노이드(49,90) 사이의 간격을 증가시켜 상기 외부 솔레노이드(90)의 반경을 증가시킴으로써 증가될 수 있고, 그 결과 상기 2개의 솔레노이드(42,90)의 효과는 상기 제품 중앙 및 에지에 각각 더욱 한정된다. 이것은 2개의 솔레노이드(42,90)의 효과를 중첩되게 하여 더 큰 범위의 제어를 허용한다. 예를 들면, 상기 내부 솔레노이드(42)의 반경은 제품 반경의 약 절반보다 더 크지 않아야 하고, 바람직하게 그것의 약 1/3 미만이어야 한다. (상기 내부 솔레노이드(42)의 최소 반경은 상기 솔레노이드(42)를 형성하는 도체의 반경에 의해 부분적으로 영향을 받게 되고 인덕턴스를 형성하기 위해 아치형, 예를 들어 실린더형 전류 경로에 대해 한정된 제로가 아닌 원주를 제공하기 위한 필요에 의해 부분적으로 영향을 받게된다.) 상기 외부 코일(90)의 반경은 적어도 상기 제품 반경과 동일해야 하고 바람직하게 상기 제품 반경의 1.5배 이상이어야 한다. 상기 구성을 사용하여, 상기 내부 및 외부 솔레노이드(42, 90)의 개별 중앙 및 에지 효과는 상기 내부 솔레노이드에 대한 전력을 증가시킴으로써 상기 챔버 압력이 균일한 플라즈마를 제공하는 동안 수백 mT로 상승될 수 있고, 상기 외부 솔레노이드(90)에 대한 전력을 증가시킴으로써 상기 챔버 압력이 균일한 플라즈마를 제공하는 동안 0.01mT의 등급으로 감소될 수 있다는 것을 표명한다. 상기 외부 솔레노이드(90)의 큰 반경의 다른 장점은 상기 내부 및 외부 솔레노이드(42,90) 사이의 커플링을 최소화시킨다는 것이다.
도 5는 제 3 솔레노이드(94)가 매우 큰 챔버 직경을 위해 바람직한 옵션으로서 부가될 수 있다는 것을 점선으로 도시한다.
도 6은 상기 외부 솔레노이드(90)가 평면 권선(100)으로 대체될 수 있는 도5의 실시예에 대한 변형을 도시한다.
도 7a는 상기 중앙 솔레노이드 권선이 상기 권선(44)의 수직 스택(42)과 부가적으로 상기 제 1 스택(42)에 가깝게 인접한 권선(104)의 제 2 수직 스택(102)을 포함하여 상기 2개의 스택이 이중으로 감긴 솔레노이드(106)를 형성하는 도 4a의 실시예에 대한 변형을 도시한다. 도 7b를 참조하면, 상기 이중으로 감긴 솔레노이드(106)는 2개의 개별적 권선 단일 솔레노이드(42,102)로 구성되고, 상기 내부 솔레노이드(42)는 상기 권선(44a,44b 등)으로 구성되고, 상기 외부 솔레노이드(102)는 상기 권선(104a,104b 등)으로 구성된다. 선택적으로 도 7c를 참조하면, 상기 이중으로 감긴 솔레노이드(106)는 수직적 스택의 한 쌍의 적어도 거의 평면상의 권선으로 구성할 수 있다. 도 7c의 대안으로, 각각의 한 쌍의 적어도 거의 평면상의 권선(예를 들어 상기 쌍(44a, 104a) 또는 상기 쌍(44b, 104b))은 나선형으로 단일 도체의 감김으로써 형성될 수 있다. 상기 용어 "이중으로 감긴"은 도 7b 또는 도 7c에 도시된 형태의 권선으로서 참조된다. 부가적으로, 상기 솔레노이드 권선은 단순히 이중적으로 감기지 않을 수 있지만 3중으로 감겨질 수 있거나 더욱 일반적으로 각각의 평면에서 대칭축을 따라 감기는 복수형 권선으로 구성할 수 있다. 상기 다중 권선 솔레노이드는 도 5의 이중 솔레노이드 실시예의 하나 또는 둘다의 내부 및 외부 솔레노이드(42, 90)에 사용될 수 있다.
도 8은 상기 내부에 이중으로 감긴 권선 솔레노이드(106)와 동심인 외부에 이중으로 감긴 권선 솔레노이드(110)가 상기 내부 솔레노이드(106)로부터 방사 거리(δR)에 위치되는 도 7a의 실시예에 대한 변형을 도시한다.
도 9는 상기 외부에 이중으로 감긴 솔레노이드(110)가 도 5의 실시예에 사용된 외부 솔레노이드에 대응하는 일반적인 외부 솔레노이드(112)로 대체되는 도 8의 실시예에 대한 변형을 도시한다.
도 10은 도 5의 솔레노이드(42)가 상기 중앙 가스 공급 하우징(66)으로부터 방사 거리(δR)의 위치에 배치되는 다른 바람직한 실시예를 도시한다. 도 4의 실시예에서 δR은 0인 반면, 도 10의 실시예에서 δR은 상기 실린더 측벽(50)에 대한 반경의 유효 부분이다. 도 10에 도시된 범위에 대한 δR의 증가는 도 3d와 도 3e를 참조하여 기술된 플라즈마 이온 밀도내의 통상의 중앙 딥에 부가적으로 비균일도를 보상하기 위해 도 4a, 5, 7a 및 8의 실시예에 대한 대안으로서 바람직할 수 있다. 유사하게, 도 10의 실시예는 상기 챔버 중앙 축(46)(도 4에서와 같이)으로부터의 최소 거리에 상기 솔레노이드(42)를 위치시켜 상기 중앙 근처에 플라즈마 이온 밀도내의 통상의 딥을 과도하게 수정하고 상기 플라즈마 처리 작용에서 여전히 다른 균일도를 형성하도록 상기 웨이퍼(56)의 중앙 근처의 플라즈마 이온 밀도를 증가시키게 될 경우에 유익하게 될 수 있다. 이런 경우에, 도 10의 실시예는 δR이 플라즈마 이온 밀도내의 최상 균일도를 제공하도록 하는 최적값이 될 수 있게 선택되는 경우에 바람직하다. 이상적으로 이런 경우에, δR은 플라즈마 이온 밀도의 통상의 중앙 딥에 대한 불충분한 수정 및 지나친 수정 둘 다를 방지하도록 선택된다. δR에 대한 최적값의 결정은 서로 다른 방사 위치에 상기 솔레노이드(42)를 위치시키고 각각의 단계에서 플라즈마 이온 밀도의 방사 프로파일을 결정하기 위한 일반적 기술을 사용하는 시도 및 에러 단계로 당업자에 의해수행될 수 있다.
도 11은 상기 솔레노이드(42)가 반전된 원뿔 형태를 가지는 실시예를 도시하는 반면, 도 12는 상기 솔레노이드(42)가 직립 원뿔 형태를 가지는 실시예를 도시한다.
도 13은 상기 솔레노이드(42)가 수평 나선형 권선(120)과 결합되는 실시예를 도시한다. 상기 수평 나선형 권선은 상기 솔레노이드 권선(42)이 상기 중앙으로부터 다소 떨어져 다소의 RF 전력을 분배함으로써 상기 제품의 중앙 근처에 유도 필드가 응집되는 악영향을 감소시키는 효과를 가진다. 이런 특징은 통상의 중앙 널에 대한 과도한 수정을 방지하는 것이 요구되는 경우에 유익하게 될 것이다. 중앙으로부터 떨어진 상기 유도 필드의 이런 전환의 범위는 상기 수평 나선형 권선(120)의 반경에 대응한다. 도 14는 상기 솔레노이드(42)가 도 11에서와 같이 반전된 원뿔 형태를 가지는 도 13의 실시예에 대한 변형을 도시한다. 도 15는 상기 솔레노이드(42)가 도 12의 실시예에서와 같은 수직 원뿔 형태를 가지는 도 13의 실시예에 대한 다른 변형을 도시한다.
상기 시일링(52) 상의 RF 전위는 시일링 상의 폴리머 증착을 방지하도록 상기 챔버의 다른 전극에 관련한 전극의 효과적 전기 용량의 전극 면적(예를 들어 상기 제품과 상기 측벽)을 감소시킴으로써 증가될 수 있다. 도 16은 이것이 외부 환형부(200) 상의 시일링(52')의 더 작은 면적 변형을 지지함으로써 달성될 수 있는 방법을 도시하는데, 상기 더 작은 면적 시일링(52')은 절연된다. 상기 환형부(200)는 상기 시일링(52')과 같은 동일한 재료(예를 들어 실리콘)로 형성될수 있고, 절두 원뿔형(실선으로 표시된) 또는 절두 돔형(점선으로 표시된)으로 될 수 있다. 개별 RF 전력 공급원(205)은 보다 뛰어난 제품 중앙 대 에지 처리 조절을 허용하도록 상기 환형부(200)에 접속될 수 있다.
도 17a는 중앙 에칭 속도 상의 제어와 개별적으로 상기 에지에 관련한 제어를 강화하도록 개별 RF 소스(210, 212)로부터 시일링(52)과 측벽(50)에 인가된 개별 제어된 RF 바이어스 전력 레벨을 가지고 상기 시일링(52)과 측벽(50)이 서로 절연된 반도체(예를 들어, 실리콘) 단편을 분리시키는 도 5의 실시예에 대한 변형을 도시한다. 이미 참조된 미국 출원 일련번호 제08/597,577호에 더욱 상세히 언급된 바와 같이, 상기 시일링(52)은 도핑된 반도체(예를 들어 실리콘) 재료가 될 수 있어 그것은 상기 챔버(40)내에 전극에 RF 바이어스 전력을 정전 결합적으로 결합하는 전극으로서 기능할 것이고, 동시에 윈도우를 통해 상기 솔레노이드(42)에 인가된 RF 전력이 상기 챔버(40)내에 유도적으로 결합될 수 있다. 상기 윈도우 전극의 장점은 RF 전위가 직접 상기 웨이퍼(56) 상에 확립될 수 있는 반면 동시에 상기 웨이퍼(56) 상에 직접 RF 전력을 유도적으로 결합한다는 것이다. 후자의 특징은 상기 개별적으로 제어된 내부 및 외부 솔레노이드(42, 90)와 중앙 및 주변 가스 공급기(64a, 64b-d)의 결합으로 여러 가지 플라즈마 처리 파라미터, 이를테면 이온 밀도, 이온 에너지, 에칭 속도 및 최적의 균일도를 달성하기 위해 제품 에지에 관련한 제품 중앙에서의 에칭 선택도를 조절할 수 있는 능력을 강화시킨다. 이런 결합에서, 개별 가스 공급을 통한 상기 개별 가스 흐름 속도는 플라즈마 처리 파라미터의 최적의 균일도를 얻기 위해 개별 및 분리 제어된다.
도 17a는 상기 램프 가열기(72)가 전기 가열 엘리먼트(72')로 대체될 수 있는 방법을 도시한다. 도 4의 실시예에서와 같이, 상기 일회용 실리콘 부재는 상기 페데스탈(54)을 둘러싸는 환형 링(62)이다. 바람직하게, 상기 환형 링(62)은 고순도 실리콘이고, 그것의 전기 또는 광학 특성을 변화시키기 위해서 도핑될 수 있다. 플라즈마 처리에서 유리한 관계(예를 들면, 플루오르 제거를 위한 플라즈마내의 실리콘 기여)를 보장하기에 충분한 온도로 상기 실리콘 링(62)을 유지하기 위해서, 상기 환형 링(62) 하부에 원형으로 배치된 다수의 방사 가열기(예를 들면, 텅스텐 할로겐 램프)(77)가 수정 윈도우(78)를 통해 상기 실리콘 링(62)을 가열한다. 이미 언급된 계류중인 미국 출원에 개시된 바와 같이, 상기 가열기(77)는 광학 듀로미터 또는 플루오르-광학 프로브와 같은 원격 센서일 수 있는 상기 온도 센서(79)에 의해 감지된 상기 실리콘 링(62)의 측정된 온도에 따라 제어된다. 상기 센서(79)는 상기 링(62)에서 매우 깊은 홀(62a)로 부분적으로 연장할 수 있으며, 홀의 깊이와 폭은 적어도 부분적으로 상기 실리콘 링(62)의 열 방사에 의한 온도 의존 변화를 방지하려는 경향이 있고, 그 결과 더욱 신뢰가능한 온도 측정을 위한 그레이-바디 방열기처럼 동작한다.
도 17b는 상기 시일링(52) 자체가 서로 전기적으로 절연되고 단일의 별도 제어되는 RF 전력 소스가 개별 출력 될 수 있는 독립의 RF 전력 소스(214, 216)에 의해 개별적으로 바이어싱되는 상기 내부 디스크(52a)와 외부 환형부(52b)내에 분배될 수 있는 다른 변형을 도시한다.
선택적 실시예에 따라서, 일반적 마이크로 프로세서와 메모리를 포함하는 도17a와 17b에 도시된 사용자 접근이 용이한 중앙 제어기(300)는 동시에 상기 중앙 가스 공급기(64a)와 상기 주변 가스 공급기(64b-d)를 통한 가스 흐름 속도, 상기 내부 및 외부 안테나(42, 90)에 인가되는 RF 플라즈마 소스 전력 레벨, 상기 시일링(52)과 측벽(50)에 각각 인가되는 RF 바이어스 전력 레벨(도 17a에서), 상기 내부와 외부 시일링 부분(52a, 52b)에 인가된 상기 RF 바이어스 전력 레벨, 및 상기 시일링(52)의 온도와 상기 실리콘 링(62)의 온도를 제어하도록 접속된다. 시일링 온도 제어기(218)는 상기 제어기(300)에 대해 공지된 요구된 온도와 시일링 온도 센서(76)에 의해 측정된 온도를 비교함으로써 전력 소스(220)에 의해 상기 가열기(72')에 인가되는 전력을 제어한다. 링 온도 제어기(222)는 상기 제어기(22)에 대해 공지된 요구된 링 온도와 상기 링 센서(79)에 의해 측정된 링 온도를 비교함으로써 상기 실리콘 링(62)에 대향하는 가열 전력 소스(224)에 의해 상기 가열 램프(77)에 인가되는 전력을 제어한다. 상기 중앙(master) 제어기(300)는 상기 온도 제어기(218, 222)의 상기 요구된 온도, 상기 바이어스 전력 소스(68,96)의 RF 전력 레벨, 상기 바이어스 전력 소스((210,212)(도 17a에서) 또는 214, 216(도 17b에서))의 RF 전력 레벨, 상기 RF 전력 소스(70)에 의해 인가된 상기 웨이퍼 바이어스 레벨 및 상기 가스 유입구(64a-d)에 여러 가지 가스 공급원(또는 개별 밸브)에 의해 공급된 가스의 흐름 속도를 제어한다. 상기 웨이퍼 바이어스 레벨을 제어하는 열쇠는 상기 웨이퍼 페데스탈(54)과 상기 시일링(52) 사이의 RF 전위 차이이다. 그러므로, 상기 페데스탈 RF 전력 소스(70) 또는 시일링 RF 전력 소스(210) 중 어느 하나는 단순히 RF 접지에 단락될 수 있다. 프로그램 가능한통합 제어기를 사용하여, 사용자는 쉽게 상기 제품의 표면에 걸쳐 최상의 중앙 대 에지 처리 균일도(예를 들면, 에칭 속도와 에칭 선택도의 균일한 방사 분배)를 달성하도록 제품 중앙과 주변 사이의 RF 소스 전력, RF 바이어스 전력 및 가스 흐름 속도의 할당을 최적화 할 수 있다. 또한, 상기 페데스탈(54)과 시일링(52) 사이의 RF 전력 차이에 관련하여 상기 솔레노이드(42,90)에 인가된 RF 전력을 조절함으로써, 상기 사용자는 주로 유도적으로 결합된 모드 또는 주로 정전 결합적으로 결합된 모드로 상기 반응기를 동작시킬 수 있다.
도17a에서 상기 솔레노이드(42, 90), 시일링(52), 측벽(50)(또는 도 17b의 상기 내부 및 외부 시일링 부분(52a, 52b))에 접속된 여러 가지 전력 소스는 RF 주파수에서의 동작으로서 기술되는 반면, 본 발명은 어떤 특정 범위의 주파수에 한정되지 않으며, RF 이외의 주파수가 발명을 실시하는 당업자에 의해 선택될 수 있다.
본 발명의 바람직한 실시예에서, 상기 높은 열적 도전성 스페이서(75), 상기 시일링(52) 및 상기 측벽(50)은 결정 실리콘의 단일 피스와 일체형으로 형성될 수 있다.
도 5를 다시 참조하면, 바람직한 플라즈마 챔버는 윈도우/전극(52)을 포함한다. 상기 윈도우/전극(52)은 하나 이상의 외부(외부 챔버) 안테나 또는 코일로부터 상기 챔버내의 플라즈마까지의 RF 전자기 또는 유도 전력 결합에 대한 윈도우 또는 정전기적으로 또는 정전적으로 RF 전력을 상기 챔버내의 플라즈마에 결합하기 위한(또는 이런 RF 전력의 정전 또는 정전기적 결합을 위한 접지 또는 귀환 경로를차단 또는 제공하기 위한) 또는 상기 제품 또는 웨이퍼를 바이어싱하기 위한 전극으로서 둘다 기능할 수 있도록 이미 참조된 출원에 상세히 기술된 바와 같이 반도전 재료로 제조된다.
상기 윈도우/전극(52)은 이미 참조된 출원에 개시된 바와 같이 임의 형태가 될 수 있지만, 이런 예에서는 이미 참조된 출원에 개시된 바와 같이 플라즈마 제한을 위해 임의로 실린더형 벽 또는 상기 디스크로부터 외부로 연장하는 스커트를 포함할 수 있는 평면형 디스크이다.
상기 윈도우/전극(52)은 상기 열 전달 재료(75)를 통해 상기 흡열부(74)에 접속된다. 전형적으로 상기 흡열부(74)는 수냉식 금속 플레이트, 바람직하게 양호한 열도체, 이를테면 알루미늄 또는 구리지만, 임의로 비금속이 될 수 있다. 상기 흡열부(74)는 전형적으로 바람직하게 밀폐 루프 열 교환기 또는 냉각 장치에 의해 상기 흡열부(74)내의 충분한 표면적의 냉각 통로로 강제로 흐르는 물 또는 에틸렌-글리콜과 같은 액체 냉각제를 사용하는 형태의 냉각 장치이다. 상기 액체 흐름속도 또는 온도는 대략 일정하게 유지된다. 선택적으로, 상기 액체 흐름 속도 또는 온도는 상기 온도 제어 시스템의 가변 출력이 될 수 있다.
바람직하게, 방사 가열이 상기 윈도우/전극에 열을 가하는데 사용된다. 상기 방사 가열기(72)는 할로겐과 불활성 가스의 혼합물로 채워지는 수정 밀봉체를 사용하는 다수의 텅스텐 필라멘트 램프이다. 방사 가열기는 열적 지체가 최소화되기 때문에 다른 가열기 형태에 바람직하다. 텅스텐 필라멘트 램프의 열적 캐패시턴스는 매우 낮아 전력 설정의 변화에 대한 필라멘트 온도의 응답 시간( 및 전력출력)이 짧고(1초 이하), 램프 필라멘트와 부하 사이의 열 전달 매커니즘이 방사에 의한 것이기 때문에, 가열을 위한 전체 열적 지체는 최소화된다. 부가적으로, 상기 램프 필라멘트와 부하 사이의 열 전달 매커니즘이 방사에 의한 것이기 때문에, 가열을 위한 전체 열적 지체는 최소화된다. 부가적으로, 텅스텐 필라멘트 램프의 열적 캐패시턴스가 매우 낮기 때문에, 상기 램프에 저장된 열에너지의 양은 매우 낮고, 가열 전력의 감소가 상기 제어 시스템에 의해 요구될 때 상기 필라멘트 온도는 빨리 강하될 수 있고, 또한 램프 출력 전력이 빨리 강하될 수 있다. 도 5에 도시된 바와 같이, 상기 램프(72)는 가능한 가장 빠른 응답을 위해 상기 부하(상기 윈도우/전극(52))를 직접 방사한다. 그러나, 선택적으로 상기 램프(72)는 상기 열 전달 재료(75)를 방사할 수 있다. 램프 가열은 하나 이상의 영역, 상기 윈도우/전극의 열적 균일성을 개선하기 위한 상기 윈도우/전극의 축의 2 이상 반경의 램프에 제공될 수 있다. 최대 열적 균일성을 위하여, 2개 이상 영역의 램프가 개별 제어로 제공될 수 있는데, 각각의 영역은 자체의 온도 측정, 제어 시스템 및 출력 트랜스듀서를 사용한다. 이것은 특히 챔버 내부로부터의 열속(heat flux) 공간적 분포가 처리 파라미터, 처리, 처리 순서, 또는 다른 경계 조건에 의존하여 변화할 때 유용하다.
상기 열 전달 재료(75)는 열 전달 재료(75)와 윈도우/전극(52)이 2개의 개별 부품인 경우에 존재할 수 있는 열적 접촉 저항의 제거를 위해 동일 재료를 사용하여 단일 피스 구조로 상기 윈도우/전극(52)과 일체형으로 형성될 수 있다. 선택적으로, 상기 열 전달 재료(75)와 상기 윈도우/전극(52)은 서로 결합되는 동일 또는서로 다른 재료(상기 윈도우/전극(52)이 유도성 안테나(90, 92 및/또는 42, 44)를 사용하는 RF 또는 마이크로파 전력의 유도 또는 전자기 결합을 위해 사용되기 때문에 바람직하게 높은 전기적 저항 재료를 사용하여)의 2개의 부품일 수 있고, 상기 열 전달 재료(75)와 상기 윈도우/전극(52)사이의 열적 접촉 저항을 최소화한다.
선택적으로, 상기 열 전달 재료(75)와 상기 윈도우/전극(52)은 접촉 저항을 통해 서로 접속되는 동일 또는 서로 다른 재료의 2개의 부품일 수 있다. 이런 경우에, 상기 열 전달 재료(75)는 바람직하게 높은 전기적 저항성의 높은 열전도성 재료로 형성된다. 부가적으로, 밀도와 비열의 낮은 생성이 바람직하다. 예로서 SiC, Si, AiN, 및 Al2O3가 있다.
SiC의 특성은 다음과 같다.
열전도성:130와트/미터*켈빈
전기적 저항성:>105옴*㎝
비열:0.66 줄/그램*켈빈
밀도:3.2 그램/㎤
또한 저도핑(고도핑이 아닌)(예를 들면, 1014/㎤)된다면 실리콘이 사용될 수 있고 다음과 같은 특성을 가진다.
열전도성:80와트/미터*켈빈
전기적 저항성:20-100 옴*㎝
비열:0.7 줄/그램*켈빈
밀도:2.3 그램/㎤
다른 대체물로서 알루미늄 질화물 또는 알루미늄 산화물이 있다.
상기 열 전달 재료(75)는 상기 흡열부(74)에 근접하는 영역에 높은 전기 저항성 결합 재료가 필요하지 않고 종래에 공지된 기술(예를 들면, 열가소성 에폭시와 같은 결합 재료, 또는 다른 유기 또는 무기 결합 재료를 사용하여)에 의해 상기 흡열부(74)에 결합될 수 있다. 이것은 상기 열 전달 재료(75)와 흡열부(74) 사이에 매우 낮은 열적 접촉 저항을 제공한다.
또한 상기 열 전달 재료(75)는 상기 흡열부(74)로부터 상기 유도성 안테나(90, 92 및/또는 42, 44)를 분리하는데 사용되고, 상기 열 전달 재료가 금속이라면 각각의 유도성 안테나(90, 92 및/또는 42, 44)의 근처에 발생된 유도 필드에 접지 평면 또는 반사기를 형성한다. 상기 흡열부(74)가 금속이고 상기 유도성 안테나(90, 92 및/또는 42, 44)에 너무 근접하면, 에디 전류가 상기 접지 평면에 유도되어 전력 손실을 초래한다. 부가적으로, 상기 안테나(90, 92 및/또는 42, 44)를 통과하는 상기 RF 전류는 소정 RF 전력을 구동하기 위해 매우 커지게 되어 상기 회로의 I2R 손실을 증가시킨다. 각각 4번 감긴 상기 안테나(90, 92 및/또는 42, 44)는 1" 높이의 코일을 산출하는 1/4" 외부 직경 테프론으로 절연된 3/16" 직경 수냉식 구리 튜브로 이루어진다. 상기 윈도우/전극(52)과 상기 금속 흡열부(74) 사이의 수용가능한 거리는 약 2"이고, 상기 안테나(90, 92 및/또는 42, 44)의 상부와 상기 흡열부(74) 사이의 약 1" 거리를 산출한다.
전술한 바와 같이, 상기 열 전달 재료(75)와 상기 윈도우, 전극(52) 사이, 및 상기 열 전달 재료(75)와 상기 흡열부(74) 사이의 열적 접촉 저항은 상기 재료를 서로 결합함으로써 최소화될 수 있다. 또한 전술된 것은 한 열적 접촉 저항을 제거하는 단일 피스의 재료로부터 상기 윈도우/전극(52)과 상기 열 전달 재료(75)를 형성하는 예이다. 그러나, 일부 경우에 하나 또는 둘다의 열적 접촉 저항은 방지될 수 없다. 그러나, 상기 열적 접촉 저항은 이제 소개될 본 발명의 특징에 따라 최소화될 수 있다.
2개의 부품 사이의 열적 접촉 저항은 2개의 병렬 엘리먼트, 즉 1) 부품사이의 기계적 점접촉, 및 2) 부품사이의 공기(또는 다른 매체)를 통한 전도로 구성된다. 공기 또는 다른 매체의 부재시, 상기 2개의 부품사이의 열적 접촉 저항은 매우 높고 전형적 플라즈마 반응기 동작 동안 그것에 부과되는 높은 열부하 때문에 상기 윈도우/전극(52)의 가열 및/또는 냉각을 위해 수용될 수 없다. 공기의 존재는 기계적 점 접촉보다 더 낮은 열적 접촉 저항을 산출하지만, 전형적으로 둘다의 부품에 대한 표면 거칠기와 수평도의 함수가 되는 부품 사이의 효과적 갭에 의존하여 무시된다. 가스의 평균 자유 경로가 부품 사이의 효과적 갭에 관련하여 작은 고압 연속 체제의 공기에 대해, 상기 공기의 열전도성은 가스 압력으로 변하지 않고 단위 면적 당 열전도성은 간단히 공기의 열전도성 대 효과적 갭의 비이다. 대기 압력 및 100도에서의 공기에서, 상기 열전도성은 약 0.03와트/미터*켈빈이다. 갭 사이의 열 전달은 낮은 챔버 압력과 2개의 부품사이의 기계적 접촉이 단지 점접촉이 된다는 사실에 의해 제한된다.
열 전달을 개선하기 위하여, 본 발명의 제 1 실시예에 따라 열전도성 가스, 이를테면(바람직하게) 불활성 가스, 이를테면 아르곤, 크세논 등이 상기 열 전달 재료(75)와 상기 흡열부(74) 사이의 갭 및/또는 상기 열 전달 재료(75)와 상기 윈도우/전극(52) 사이의 갭에 배치될 수 있다. 상기 갭 내의 열전도성 가스는 바람직하게 상기 갭 내의 열 전달 가스의 압력이 상기 챔버 압력과 대기 압력 사이에 있더라도 대기압만큼 높을 때까지 챔버 압력 이상으로 최대로 압력화된다. 헬륨은 열전도성 가스로서 바람직한 선택인데, 이는 헬륨이 대기압 및 100도에서 약 0.18와트/미터*켈빈의 열전도성을 가지기 때문이다. 상기 열 전달 재료(75)와 상기 흡열부(74)사이의 열접촉 저항을 최소화하기 위하여, 헬륨은 아래에 기술되는 바와 같이 상기 흡열부(74)내의 헬륨 분배관(manifold)을 통해 상기 재료(75)와 흡열부(74) 사이의 각 인터페이스에 공급될 수 있다. 또한 아래에 기술되는 바와 같이, 작은 단면과 낮은 듀로미터의 O-링이 상기 열 전달 재료(75)와 흡열부(74) 사이의 헬륨 누출을 감소시키는데 사용될 수 있다. 상기 열 전달 재료의 상부 표면 또는 링(75)으로부터의 관통 홀은 헬륨 통로를 상기 흡열부(74)와 상기 열 전달 재료 링(75) 사이의 상부 인터페이스로부터 상기 열 전달 재료 링(75)과 상기 윈도우/전극(52) 사이의 인터페이스까지 접속시킬 수 있다. 각각의 열전달 링(75)은 RF계를 흡수하지 않으려는 경향이 있는 어떤 양호한 열도체(예를 들면, 상대적으로 높은 저항성을 갖는 열도체)로 형성될 수 있다. 실리콘 질화물, 알루미늄 질화물 또는 알루미늄 산화물을 포함하는 형태의 반도전 또는 유전성 세라믹 재료와 같은 다른 재료가 사용될 수 있더라도, 하나의 적당한 재료는 실리콘 카바이드이다. 그러나, 실리콘 카바이드가 열전달 링(75)을 위한 재료로서 가장 바람직하다. 헬륨 또한 열접촉 저항을 증가시킬 수 있는 공기에 의한 헬륨의 희석을 최소화하도록 대기압을 약간 초과하는 압력으로 상기 흡열부(74)내에 배치된 상기 헬륨 분배관으로 공급될 수 있다.
열적 접촉 저항을 최소화하기 위해 상기 열 전달 재료(75)와 상기 윈도우/전극(52)사이, 및 상기 열 전달 재료(75)와 상기 흡열부(74) 사이에 다른 재료가 사용될 수 있다. 예는 열전도성의 유연한 탄성 중합체 패드(elastomeric), 이를테면 붕소 질화물 또는 실리콘 카바이드 또는 실리콘 또는 알루미늄 질화물 또는 알루미늄 산화물 및 유사한 재료이다. 금속-주입된 탄성 중합체 패드가 상기 흡열부(74)에 인접한 인터페이스에 사용될 수 있지만, 일반적으로 도체가 상기 윈도우 전극(52)에 인접하게 배치될 수 없다고 이미 설명된 동일한 이유 때문에 윈도우/전극(52)에 인접한 인터페이스에는 사용될 수 없다. 연성 금속, 이를테면 1100 시리즈 알루미늄, 인듐, 구리 또는 니켈이 상기 흡열부(74)에 인접한 인터페이스에 사용될 수 있지만, 이미 설명된 이유 때문에 상기 윈도우/전극(52) 근처의 인터페이스에는 사용될 수 없다.
냉각 능력과 가열 전력 요건은 1) 상기 윈도우/전극의 요구된 온도 제어범위, 2) 상기 최소 및 최대 내부 열부하, 3) 상기 윈도우/전극, 상기 열 전달 재료, 상기 흡열부 플레이트와, 흡열부 플레이트, 열 전달 재료 및 윈도우/전극 사이의 인터페이스의 금속 특성 및 물리적 치수, 및 4) 상기 흡열부의 온도에 의존하여 최상으로 선택되거나 또는 임의의 크기로 형성된다. 일반적으로, 상기 냉각 능력은우선 최상 내부 열부하를 갖는 윈도우/전극 동작의 최저 요구 온도를 고려하여 임의의 크기로 형성되고, 다음에 상기 가열 전력은 최저 내부 열부하(전형적으로 제로 내부 열부하)를 갖는 상기 윈도우/전극의 최고 요구 온도에 대한 냉각을 능가하도록 임의의 크기로 형성된다.
도 18은 도 5의 확대도에 대응하고 상기 반도체 윈도우 전극(52)과 일체형으로 형성되지 않는 상기 열전도성 스페이서(75)의 양면(상부와 하부)에서 열전도성 가스 인터페이스에 대해 전술한 개념의 하나의 실시예를 도시한다. 도 18에서, 도 5에 도시된 바와 같이 상기 상부 냉각 플레이트(74)와 도 5에 도시된 바와 같이 상기 하부 반도체 윈도우 전극(52)은 다수의 실린더형 스페이서 링(75)을 그 사이에 배치한다. 각각의 스페이서 또는 원환체(75)는 전술한 바와 같이 상기 반도체 윈도우 전극(52)과 구별되는 재료가 될 수 있다. 분기관(1000)은 상기 냉각 플레이트(74)내에 형성되고, 상기 분기관내로 열전도성 가스, 이를테면 헬륨이 양 압력하에 소스(1010)로부터 공급될 수 있다. 바람직하게, 필수적이지는 않지만, 상기 소스(1010)의 양 압력은 현저하게 반응기 챔버 압력 이상이지만 대기압 미만으로 상기 2개의 부품 사이의 얇은 갭내의 압력을 유지하도록 선택된다. 가스 개구부(1020)는 상기 분기관(1000)을 상기 냉각 플레이트(74)와 상기 스페이서(75) 사이의 상부 인터페이스(1030)에 접속하여 열전도성 가스(예를 들면, 헬륨)가 상기 인터페이스(1030)의 공극을 채우도록 한다. 축방향 통로(1040)는 상기 스페이서(75)를 통해 스페이서의 상부와 하부면 사이에 제공된다. 상기 축방향 통로(1040)는 상기 상부 인터페이스(1030)를 상기 스페이서(75)의 하부면과 상기 하부 반도체 윈도우 전극(52) 사이의 하부 인터페이스(1050)에 접속시킨다. 상기 축방향 통로(1040)는 상기 열전도성 가스가 상기 하부 인터페이스(1050)의 공극을 채우도록 상기 상부 인터페이스(1030)로부터 상기 하부 인터페이스(1050)로 흐르도록 하고, 그 결과 상기 열전도성 가스는 상기 상부 및 하부 인터페이스(1030, 1050)내의 공극을 채운다. 양 압력(예를 들면, 챔버압력보다 더 높은 5psi)하에서 상기 열전도성 가스 분기관(1000)을 유지하는 소스(1010)에 의해, 상기 가스는 둘다의 인터페이스(1030, 1050)로 흐른다. 상기 인터페이스(1030, 1050)로부터 상기 열전도성 가스의 누출을 감소 또는 방지하기 위하여, 작은 단면 O-링(1070, 1080)이 조립시에 상기 상부 및 하부 인터페이스에 각각 삽입 배치된다. 상기 O-링(1070, 1080)은 상기 개별 가스 분기관(1000, 1040)과 연통하는 상기 개별 인터페이스(1030, 1050)내의 거의 미소한 가스 함유 체적을 한정한다.
도 19는 도 18의 실시예가 상기 반도체 윈도우 전극(52)과 일체형으로 형성되는 전도 원환체 스페이서(75)의 어레이를 수용하기 위하여 어떻게 변형되는지를 도시한다. 이런 경우에, 상기 열전도성 가스에 의해 채워질 수 있는 인터페이스는 상기 상부 인터페이스(1030) 뿐이다.
도 20은 도 5의 부분 확대도에 대응하고 상기 반도체 윈도우 전극(52)과 일체형으로 형성되지 않는 상기 열전도성 스페이서(75)의 양면(상부와 하부)에서 열전도성 가스 인터페이스 재료에 대해 전술한 개념의 한 실시예를 도시한다. 도 18에서, 도 5에 도시된 바와 같이 상기 상부 냉각 플레이트(74)와 상기 하부 반도체 윈도우 전극(52)은 다수의 실린더형 스페이서 링(75)을 그 사이에 삽입 배치한다.각각의 스페이서 또는 원환체(75)는 전술한 바와 같이 상기 반도체 윈도우 전극(52)과 구별되는 재료가 될 수 있다. 열전도성 고체 인터페이스 재료층(1085, 1090)은 상기 상부 및 하부 인터페이스(1030, 1050)의 어느 한쪽 또는 둘다에 각각 배치된다. 고체 재료층이 단지 상기 상부 및 하부 인터페이스(1030, 1050) 중 하나에 배치된다면, 나머지 인터페이스는 도 18의 방식으로 열전도성 가스로 채워질 수 있다. 그러나, 도 20은 열전도성 고체 인터페이스 재료층이 둘다의 인터페이스(1030, 1050)에 있는 경우를 도시한다. 전술한 바와 같이, 상기 상부 인터페이스(1030)내의 고체 인터페이스 재료 층(1085)은 연성 금속이 될 수 있지만, 상기 하부 인터페이스(1050)내의 상기 고체 인터페이스 재료층(1090)은 상기 전극(52) 다음에 있기 때문에 높은 전기적 전도성을 가질 수 없다. 상기 상부 층(1085)은 연성 알루미늄, 인듐 구리 또는 니켈 또는 이런 재료의 가루 또는 미립자로 주입된 탄성 중합체일 수 있다. 상기 상부 및 하부 층(1085, 1090) 중 어느 하나는 열전도성 전기 절연 재료, 이를테면 붕소 질화물, 높은 전기 저항성(예를 들면, 벌크) 실리콘 카바이드 또는 실리콘, 알루미늄 질화물, 알루미늄 산화물 및 유사한 재료의 가루 또는 미립자로 주입된 탄성 중합체가 될 수 있다. 선택적으로, 상기 재료층(1085, 1090)의 하나 또는 둘다는 결합 재료, 이를테면 열가소성 에폭시, 또는 유기 또는 무기 결합 재료일 수 있다.
도 21은 도 20의 실시예가 상기 반도체 윈도우 전극(52)과 일체형으로 형성되는 전도성 원환체 스페이서(75)의 어레이를 수용하기 위하여 어떻게 변형되는지를 도시한다. 이런 경우에, 채워질 수 있는 인터페이스는 상기 상부인터페이스(1030) 뿐이다.
본 발명은 또한 냉각하기에 힘든 상기 반응기 챔버 내부의 가열된 부품, 이를테면 도 5를 참조하여 전술한 폴리머 고형화 선구물질 재료의 가열된 일회용 링(62)과 관련한 엄밀한 냉각 문제를 해결한다(상기 링(62)은 가열기가 제공되지 않는다면 단지 플라즈마 가열에 의해서 가열될 수 있고, 여전히 냉각을 요구한다). 본 발명은 또한 직접 가열하기에 어려운 상기 반응기 챔버 내부의 부품을 가열하는 문제를 해결한다.
도 22와 23을 참조하면, 상기 링(62) 바로 아래에서 열적 접촉하는 냉각 플레이트(1100)는 냉각제 순환 펌프(1120)로부터 냉각제를 수용하는 내부 냉각제 재킷(1110)을 가진다. 상기 냉각 플레이트(1110)와 상기 링(62) 사이의 인터페이스(1130)는 열전도성 강화 물질, 이를테면 열전도성 가스(도 22에서와 같은) 또는 열전도성 고체 재료 층(1140)(도 23에서와 같은)으로 채워진다. 상기 열전도성 가스는 열을 전도할 수 있는 임의 가스, 이를테면 불활성 가스 또는 헬륨과 같은 불활성 가스가 바람직하더라도 상기 반응기 챔버에 사용된 처리 가스와 유사한 가스일 수도 있다. 열전도성 가스를 사용하는 상기 도 22의 실시예의 경우에, 상기 냉각 플레이트(1100)를 통과하는 분기관(1150)은 상기 분기관(1160)을 통해 상기 인터페이스(1130)내로 열전도성 가스를 공급하는 열전도성 가스 소스(1160)에 접속된다. 상기 인터페이스(1130)로부터의 가스 누출은 바람직하게 상기 링이 그 위치에 놓여질 때 탄성이 낮은 단면 o-링(1070')을 상기 냉각 플레이트(1100)와 실리콘 링(62) 사이의 삽입 배치에 의해 손실을 감소 또는 방지하도록 제어된다.
헬륨이 상기 갭내의 상기 열전도성 가스로서 바람직하더라도, 상기 대기압보다 낮은 반응기 챔버 내부의 가열되거나 냉각되는 부품에 대한 응용의 경우에, 처리 가스를 포함하는 임의 가스가 상기 챔버 압력 이상이지만 대기압 이하의 압력을 만족시킬 수 있다. 이런 경우에, 주변 밀봉체, 이를테면 O-링 또는 탄성 중합체의 사용이 요구될 수 없도록 상기 가스가 상기 챔버 내로 누출되는 것이 허용할 수 있다. 상기 열전도성 가스(또는 "열 전달 가스")는 챔버 압력, 인가되어야 할 약간의 클램핑력 이상으로 압력화된다. 이런 클램핑력은 상기 플레이트(1100)와 상기 링(62) 사이에 기계적 또는 정전기적으로 유도될 수 있다. 이런 정전기 클램핑 특성은 상기 플레이트(1100)와 상기 링(62) 사이에 배치될 수 있는 적어도 부분적인 전기 절연 재료를 필요로 할 수 있다. 이런 특징은 열전도성 가스의 누출을 제어하기 위한 주변 밀봉의 필요성을 제거한다.
상기 열전도성 가스는 임의 적당한 소스로부터 얻어진다. 예를 들면, 상기 웨이퍼 페데스탈이 웨이퍼 하부를 냉각하기 위해 헬륨을 사용한다면, 공통 헬륨 소스는 상기 챔버 내부의 다른 품목(이를테면 상기 링(61)) 뿐만 아니라 웨이퍼를 냉각하기 위해 사용될 수 있다.
도 23의 실시예에서, 상기 고체 열전도성 재료의 층(1140)은 연성 알루미늄, 인듐, 구리 또는 니켈 또는 이런 재료의 가루 또는 미립자로 주입된 탄성 중합체일 수 있거나 또는 열전도성 전기적 절연 재료, 이를테면 붕소 질화물, 높은 저항성(예를 들면, 벌크 실리콘 카바이드 또는 실리콘, 알루미늄 질화물, 알루미늄 산화물 및 유사한 재료)의 가루와 미립자로 주입된 탄성 중합체일 수 있다.
또한 본 발명은 유사한 방식으로 챔버벽과 챔버 라이너의 냉각에 관한 것이다. 도 24를 참조하면, 전술한 모든 반응기의 상기 챔버 측벽(50)은 상기 벽(50)의 외부의 일부에 인접한 외부 냉각 플레이트(1210)에 의해 냉각될 수 있다. 상기 냉각 플레이트는 냉각제가 냉각제 펌프(1230)에 의해 재순환되는 내부 냉각제 재킷(1220)을 포함한다. 상기 냉각 플레이트(1210)와 상기 측벽(50) 사이의 인터페이스(1240)는 상기 냉각 플레이트(1210)를 통과하는 분기관(1245)을 통해 양압력에서 가스를 유지하는 가스 소스(1250)로부터 상기 인터페이스(1240)내로 공급되는 열전도성 가스(이를테면, 헬륨)로 채워진다. 상기 인터페이스(1240)로부터의 상기 열전도성 가스의 누출은 상기 냉각 플레이트(1210)와 상기 측벽(50) 사이에 조립시 삽입 배치되는 O-링에 의해 감소 또는 방지된다. 상기 O-링(1260)은 거의 미소한 두께이고 상기 분기관(1245)과 연통하는 상기 인터페이스(1240)의 가스 함유 체적을 한정한다.
내부 챔버 라이너(1300)는 냉각된 바디, 이를테면 상기 측벽(50)으로의 열전도에 의해 냉각될 수 있다. 본 발명, 즉 플라즈마 반응기에 따르면, 상기 냉각은 상기 라이너(1300)와 상기 측벽(50)의 내부 표면사이의 상기 인터페이스(1310)를 헬륨과 같은 열전도성 가스로 채움으로써 강화될 수 있다. 이런 목적을 위하여, 방사형 좁은 가스 채널(1320)이 상기 외부 측벽 표면상의 인터페이스(1240)와 상기 내부 측벽 표면상의 인터페이스(1310) 사이의 가스 흐름을 제공하도록 상기 측벽(50)을 통해 제공된다. 상기 분기관(1245)을 통해 공급되는 상기 열전도성 가스는 상기 외부 표면 인터페이스(1240)를 채우며, 상기 채널(1320)을 통해 상기 라이너(1300)와 상기 측벽(50) 사이의 내부 표면 인터페이스(1310)를 채운다. 가스 누출을 방지 또는 감소하기 위하여, O-링(1370)이 조립시 상기 측벽(50)과 상기 라이너(1300) 사이에 삽입 배치된다. 상기 O-링(1370)은 상기 분기관(1245)과 연통하는 상기 인터페이스(1240)의 거의 미소한 두께 가스 함유 체적을 한정한다.
도 25는 상기 도 24의 실시예가 열전도성 가스 대신에 각각의 상기 인터페이스(1240과 1310)의 고체 재료 층(1370, 1380)으로 대체함으로써 어떻게 변형되는지를 도시한다. 도 25의 실시예에서, 고체 열전도성 재료의 각 층(1370, 1380)은 연성 알루미늄, 인듐, 구리 또는 니켈 또는 이런 재료의 가루 또는 미립자로 주입된 탄성 중합체가 될 수 있거나 또는 열전도성 전기적 절연 재료, 이를테면 붕소 질화물, 높은 저항성(예를 들면, 벌크) 실리콘 카바이드 또는 실리콘, 알루미늄 질화물, 알루미늄 산화물 및 유사한 재료의 가루와 미립자로 주입된 탄성 중합체가 될 수 있다.
도 26은 도 22의 실시예가 상기 냉각 플레이트(1100)에 대한 상기 링(62)의 정전기 클램핑의 특징을 포함하도록 어떻게 변형될 수 있는지를 도시한다. 도 26에서, 유전체층(1410)이 상기 폴리머 고형화 선구물질 링(62)과 상기 냉각 플레이트(1100) 사이에 삽입되고, 정전기 클램핑 전압이 클램핑 스위치(1430)를 통해 D.C. 전압 소스(1420)로부터 상기 냉각 플레이트(1100)에 인가된다. 상기 절연 또는 유전체 층(1410)의 유입은 상기 냉각 플레이트(1100)와 상기 절연층(1410) 사이의 갭(1130a) 및 상기 링(62)과 상기 절연층(1410) 사이의 갭(1130b)을 형성한다. 상기 절연층(1410)은 상기 통로(1150)로부터 상기 갭(1130a)에 공급되는 가스가 상기 다른 갭(1130b)으로 흐를 수 있도록 상기 절연층을 통과하는 통로(1412)를 가진다. 도 26은 상기 갭(1130a와 1130b) 둘다를 밀봉하는 O-링(1070')을 도시하는데, 상기 O-링은 상기 유도된 정전기 클램핑력에 의존하여 요구되지 않을 수 있다.
본 발명은 상기 챔버 내부(이를테면, 챔버 라이너, 일회용 실리콘 링)와 상기 챔버 외부(이를테면, 윈도우 전극, 측벽) 어느 한쪽의 반응기의 열 수용 엘리먼트 사이의 인터페이스에 걸친 열전도성의 상당한 개선(헬륨의 유입의 경우에 약 6의 계수)을 제공한다. 결과적으로, 상기 플라즈마 반응기의 많은 임계부에 대한 온도의 자동화된 제어는 종래 기술을 능가하는 새로운 능력으로 개선된다. 본 발명은 여러 인터페이스에서의 하나 또는 2가지 특성 모드의 조합, 즉 (a) 상기 인터페이스내의 열전도성 가스의 유입과 (b) 상기 인터페이스내의 열전도성 고체층의 유입으로 이것을 달성한다. 이것은 동일한 엘리먼트의 효과적으로 제어된 가열과 조합하여 가열되고 냉각된 각각의 엘리먼트의 온도에 대한 정밀한 피드백 제어를 허용한다.
상기 반응기의 열 전달 재료 및/또는 물리적 치수 선택에서, 상기 요구된 냉각 컨덕턴스는 다음과 같이 결정된다.
G = 전체최대내부 열부하(와트) / 델타-T1(℃)
여기에서 델타-T1 = 흡열부 온도와최소윈도우/전극 온도 사이의 차이
선택적으로, 상기 열 전달 재료와 물리적 치수가 이미 선택되어 있다면, 상기 요구된 흡열부 온도는 G의 함수로서 델타-T1에 대한 상기 식을 고려하여 평범하게 계산된다.
P = 표면을 제어하기 위해 유도되는 요구된 전체 외부 가열 전력(와트)
P = (G*델타-T2) - Pmin
여기에서,
G는 냉각 컨덕턴스(와트/℃)이고,
델타-T2 = 흡열부 온도와최대윈도우/전극 온도 사이의 차이이며,
Pmin은 상기 윈도우/전극상의최소내부 열부하이다.
예 1
상기 윈도우/전극(52)과 상기 열 전달 링(75)은 모노리틱(monolithic) 피스로서 일체형으로 형성되고, 상기 윈도우/전극(52)은 12.81인치 직경과 0.85 두께의 평면 원형 디스크이다. 상기 윈도우/전극(52)과 일체형으로 형성된 것은 다음의 내부와 외부 직경의 2″높이의 4개 동심 실린더 열 전달 링(75)의 어레이이다.
1. 12.80″ 외부 직경과 10.79″ 내부 직경의 외부 열 전달 링,
2. 9.010″ 외부 직경과 7.595″ 내부 직경의 중간 열 전달 링,
3. 5.715″ 외부 직경과 3.940″ 내부 직경의 내부 열 전달 링, 및
4. 2.260″ 외부 직경과 0.940″ 내부 직경의 중앙 열 전달 링.
상기 윈도우/전극(52)과 동심 실린더형 열 전달 링(75)의 일체형 링은 다음과 같은 열적 및 전기적 특성을 가지는 다결정 실리콘의 단일 잉곳(ingot)으로부터 서로 제조된다.
도핑 레벨: 1014/㎤, 붕소 또는 인
열전도도:80와트/미터*켈빈
전기 저항도:20 내지 100 옴*㎝
비열:0.7줄/그램*켈빈
밀도: 2.3 그램/㎤
다수의 750와트 @ 120 볼트 rms 텅스텐 필라멘트 램프(76)가 사용된다. 상기 램프의 수는 측정된 73% 효율성(출력 전력/ac 입력 전력)과 400와트 @ 80 볼트 rms 최대 동작 레벨(긴 램프 수명을 위한)에 기초하여 선택된다. 2개의 가열 영역이 사용되는데, 상기 외부 원상의 가열 영역은 제 1 (외부) 영역을 포함하고, 상기 내부 영역상의 가열 영역은 중앙에 제 2 (내부 ) 영역을 포함한다. 각각의 영역은 그것 자체의 온도 측정기(상기 윈도우/전극 표면에 대향하여 로딩된 K 형태 열전쌍 스프링)와 그것 자체의 출력 트랜스듀서(위상각 제어기)를 가진다. 실바니아에 의해 제조된 상기 램프는 다음과 같이 배치된다.
각진 간격(24도)과 동일한 13.55″ 직경 원상의 15개 램프;
각진 간격(24도)과 동일한 6.655″ 직경 원상의 15개 램프; 및
중앙축 상의 1개 램프.
상기 외부 램프 원은 상기 흡열부(74)와 일체형이 되는 실린더형 연마된 알루미늄 반사기에 의해 상기 외부상에 둘러싸여진다.
상기 외부 솔레노이드 안테나(90)는 이미 참조된 특허 출원에 개시된 바와 같이 1" 높이와 1/4" 평균 직경의 코일을 산출하는 1/4" 외부 직경 테프론 튜빙으로 절연된 3/16" 직경 수냉식 구리 튜빙으로 구성되는 4개 코일이다.
상기 내부 솔레노이드 안테나(42)는 이미 참조된 특허 출원에 개시된 바와 같이 1" 높이와 3.25 평균 직경의 코일을 산출하는 1/4" 외부 직경 테프론 튜빙으로 절연된 3/16" 직경 수냉식 구리 튜빙으로 구성되는 4개 코일이다.
상기 흡열부 플레이트(74)는 분당 2 갤론의 흐름 속도로 50/50% 물/에틸렌-글리콜 혼합물을 사용하는 밀폐 루프 열교환기에 의해 75℃로 유지되는 수냉식 알루미늄 플레이트이다. 상기 흡열부(74)는 램프 소켓을 하우징하고 소켓에 대한 고유의 램프 손실(대략 27%)에 기인하여 요구된 상기 램프(76)를 위한 냉각을 제공한다. 상기 흡열부 플레이트(74)는 상기 내부 및 외부 솔레노이드 안테나(42, 90)를 위한 피드스루(feed-through)를 포함한다. 또한 상기 흡열부(74)는 상기 안테나(42, 90)를 위한 접지 평면으로서 기능한다. 상기 흡열부 플레이트(74)는 각 열 전달 링(75)의 외부 직경 바로 내부와 각 열 전달 링(75)의 내부 직경 바로 외부에 배치된 O-링 홈을 포함한다. 상기 흡열부(74)는 동심 실린더형 열 전달 링(75)의 일체형 어레이의 상부에 장착된다. 둘다의 표면(상기 흡열부(74)의 하부와 열 전달 링(75)의 상부)의 표면 거칠기는 마이크로-인치 미만이다. 각 표면의 수평도는 0.0005인치 미만이다. 상기 흡열부의 하부와 열 전달 링의 상부 사이의 효과적 갭은 0.001 인치 미만이다.
예 2
상기 윈도우/전극(52)과 상기 열 전달 링(75)은 서로 다른 재료로 형성된 개별 피스이다. 상기 윈도우/전극(52)은 14.52인치 직경과 0.85 두께의 평면 원형 디스크이다. 다음과 같은 내부와 외부 직경의 2″높이의 4개 동심 실린더 열 전달링(75)의 개별 어레이는 상기 흡열부와 상기 윈도우/전극 사이에 배치된다.
1. 12.70″ 외부 직경과 10.67″ 내부 직경의 외부 열 전달 링,
2. 8.883″ 외부 직경과 7.676″ 내부 직경의 중간 열 전달 링,
3. 5.576″ 외부 직경과 3.920″ 내부 직경의 내부 열 전달 링, 및
4. 2.080″ 외부 직경과 1.050″ 내부 직경의 중앙 열 전달 링.
상기 윈도우/전극(52)은 다음과 같은 열적 및 전기적 특성을 가지는 다결정 실리콘의 단일 잉곳으로부터 제조된다.
도핑 레벨: 1014/㎤, 붕소 또는 인
열전도도:80와트/미터*켈빈
전기 저항도:20-100 옴*㎝
비열:0.7줄/그램*켈빈
밀도: 2.3 그램/㎤
동심 실린더형 열 전달 링(75)의 어레이는 다음과 같은 열적 및 전기적 특성을 갖는 SiC(실리콘 카바이드)로 제조된다.
열전도도:130와트/미터*켈빈
전기 저항도:1015옴*㎝
비열:0.655 줄/그램*켈빈
밀도: 3.2 그램/㎤
다수의 750와트 @ 120 볼트 rms 텅스텐 필라멘트 램프(76)가 사용된다. 상기 램프의 수는 측정된 73% 효율성(출력 전력/ac 입력 전력)과 400와트 @ 80 볼트 rms 최대 동작 레벨(긴 램프 수명을 위한)에 기초하여 선택된다. 2개의 가열 영역이 사용되는데, 상기 외부 원상의 가열 영역은 제 1 영역(외부)을 포함하고, 상기 내부 영역상의 가열 영역은 중앙에 제 2 (내부 ) 영역을 포함한다. 각각의 영역은 그것 자체의 온도 측정기(상기 윈도우/전극 표면에 대향하여 로딩된 K형 열전쌍 스프링)와 그것 자체의 출력 트랜스듀서(위상각 제어기)를 가진다. 실바니아에 의해 제조된 상기 램프는 다음과 같이 배치된다.
각진 간격(24도)과 동일한 13.55″ 직경 원상의 15개 램프;
각진 간격(24도)과 동일한 6.626″ 직경 원상의 15개 램프; 및
중앙축 상의 1개 램프.
상기 외부 램프 원은 상기 흡열부와 일체형이 되는 실린더형 연마된 알루미늄 반사기에 의해 상기 외부상에 둘러싸여진다.
상기 외부 솔레노이드 안테나(90)는 이미 참조된 특허 출원에 개시된 바와 같이 1" 높이와 1/4" 평균 직경의 코일을 산출하는 1/4" 외부 직경 테프론 튜빙으로 절연된 3/16" 직경 수냉식 구리 튜빙으로 구성되는 4개 코일이다.
상기 내부 솔레노이드 안테나(42)는 이미 참조된 특허 출원에 개시된 바와 같이 1" 높이와 3.25 평균 직경의 코일을 산출하는 1/4" 외부 직경 테프론 튜빙으로 절연된 3/16" 직경 수냉식 구리 튜빙으로 구성되는 4개 코일이다.
상기 흡열부 플레이트(74)는 분당 2 갤론의 흐름 속도로 50/50% 물/에틸렌-글리콜 혼합물을 사용하는 밀폐 루프 열교환기에 의해 75℃로 유지되는 수냉식 알루미늄 플레이트이다. 상기 흡열부(74)는 램프 소켓을 하우징하고 소켓에 대한 고유의 램프 손실(대략 27%)에 기인하여 요구되는 상기 램프(76)를 위한 냉각을 제공한다. 상기 흡열부 플레이트(74)는 상기 내부 및 외부 솔레노이드 안테나(42, 90)를 위한 피드스루를 포함한다. 또한 상기 흡열부(74)는 상기 안테나(42, 90)를 위한 접지 평면으로서 기능한다. 상기 흡열부 플레이트(74)와 윈도우/전극(52)은 각 열 전달 링(75)의 외부 직경 바로 내부와 각 열 전달 링(75)의 내부 직경 바로 외부에 배치된 0.139인치 직경, 30 듀로미터 연성 O-링을 수용하는 O-링 홈을 포함한다. 상기 흡열부(74)는 동심 실린더형 열 전달 링(75)의 일체형 어레이의 상부에 장착된다. 둘다의 표면(상기 흡열부의 하부와 열 전달 링의 상부)의 표면 거칠기는 마이크로-인치 미만이다. 각 표면의 수평도는 0.0005인치 미만이다. 상기 흡열부의 하부와 열 전달 링의 상부 사이의 효과적 갭은 0.001 인치 미만이다. 상기 열 전달 링의 하부와 상기 윈도우/전극의 상부 사이의 효과적 갭은 0.001 인치 미만이다.
본 발명에 관련한 상세한 설명:
제거가능한 플라즈마 구속 자석 모듈
도 27을 참조하면, 상기 펌핑 환형부(60)를 보호하는 플라즈마 구속 자석(80, 82)은 각각 모듈식 (제거가능한) 자석 라이너 모듈에 삽입될 수 있다. 상기 자석 라이너 모듈(2010)은 플라즈마 구속 자석(80)을 홀딩하고 자석 라이너 모듈(2020)은 상기 플라즈마 구속 자석(82)을 홀딩한다. 각각의 자석 라이너 모듈(2010, 2020)은 바람직하게 알루미늄과 같은 비자기 금속으로 형성된다. 상기실리콘 시일링(52)은 상기 라이너 모듈(2010) 위에 안착되고 상기 라이너 모듈(2010)은 챔버 측벽 또는 바디(50) 위에 안착된다. RF 가스켓(2012)과 O-링(2014)은 상기 라이너 모듈(2010)과 시일링(52) 사이에 프레싱된다. 다른 RF 가스켓(2016)과 다른 O-링(2018)은 상기 라이너 모듈(2010)과 챔버 바디(50) 사이에 프레싱된다. 도 28을 참조하면, 각각의 라이너 모듈(2010, 2020)은 상기 자석(예를 들면, 자석(80))이 존재하는 개구부 또는 직사각형 함몰부(2030)를 가진다. 상기 자석(80)은 상기 자석(80)과 상기 자석 라이너 모듈 사이의 결합층(2040)(예를 들면, 에폭시 재료가 될 수 있는)에 의해 상기 개구부(2030)의 외부 표면에 결합된다. 상기 자석(80)은 상기 개구부를 밀봉하도록 상기 자석 라이너 모듈에 레이저 용접 또는 E-빔 용접될 수 있는 알루미늄 커버(2050)에 의해 상기 개구부(2030)의 내부에 보호 밀봉된다. 이것은 상기 커버(2050)와 상기 라이너 모듈 사이에 용접층(2060)을 형성한다. 상기 라이너 모듈(2010, 2020)은 상기 자석(80, 82)이 플라즈마와의 상호 작용 영역에 가능한 가깝게 근접하도록 상기 펌핑 환형부(60)의 내부벽에 배치된다. 이런 실시예의 한가지 장점은 상기 자석(80, 82)이 플라즈마 상호 작용 영역으로부터 최소 거리에 있더라도 개별 라이너 모듈(2010, 2020) 내부에 밀봉됨으로써 플라즈마로부터 보호된다는 것이다. 다른 장점은 상기 자석이 상기 벽과 열전도성 (알루미늄) 라이너 모듈의 접촉에 의해 냉각된 바디(예를 들면, 챔버 벽)에 열적으로 결합된다는 것이고, 그 결과 상기 자석(80, 82)은 냉각된다. 이에 의해 플라즈마 구속 자석이 이들의 큐리 온도 이하로 유지되어 효과적인 상태로 유지될 수 있다. 이런 목적을 위해, 냉각 플레이트(74)를 통과하는 냉각제 통로(74a)에 부가적으로, 부가 냉각제 통로(2070)가 상기 플라즈마 구속 자석 라이너 모듈(2010, 2020)과의 접촉 영역 근처의 챔버 벽에 제공될 수 있다. 상기 자석 라이너 모듈로부터 챔버 벽으로의 열전달을 추가로 강화시키기 위하여, 각각의 라이너 모듈(2010, 2020)은 죔쇠(2080)에 의해 인접한 챔버 벽에 조여질 수 있다. 상기 자석 라이너 모듈(2010, 2020)의 한가지 특징은 챔버 어셈블리로부터 쉽게 제거할 수 있어 세정이 쉽다는 것이다.
상기 플라즈마 구속 자석쌍(80, 82)에 의한 펌프 환형부(60)의 보호에 부가적으로, 상기 반응기는 도 28을 참조하여 전술한 형상을 각각 사용하는 유사한 플라즈마 구속 자석 라이너 모듈 쌍(2088, 2090)에 삽입되는 다른 쌍의 플라즈마 구속 자석(2084, 2086)에 의해 보호될 수 있는 웨이퍼 슬릿 밸브(2082)를 가질 수 있다.
상기 플라즈마 구속 자석쌍은 웨이퍼 슬릿 밸브, 상기 챔버의 가스 인렛, 상기 펌핑 환형부, 상기 챔버의 윈도우 또는 챔버 벽 자체와 같은 물리적 장벽(챔버 벽)내의 임의의 갭을 통한 플라즈마 누설을 방지하는데 사용될 수 있다. 상기 챔버의 가스 인렛을 통한 플라즈마 누설이 플라즈마 구속 자석에 의해 어떻게 방지되는지의 한가지 보기는 오버헤드 중앙 가스 공급부(2092)에 대해 도 27에 도시되어 있다. 상기 중앙 가스 공급부(2092)는 상기 중앙 가스 공급부(2092) 사이에서 서로 마주하는 적어도 한 쌍의 플라즈마 구속 자석(2096a, 2096b)을 홀딩하는 라이너 모듈(2094)을 수용한다. 대안적으로, 상기 라이너 모듈(2094)은 2개의 개별 모듈로 분할될 수 있고, 각각은 플라즈마 구속 자석 쌍(2096a, 2096b) 중 하나를 홀딩한다. 선택적으로 상기 실리콘 시일링(52)과의 호환성을 위해 상기 라이너 모듈(2094)내에 실리콘을 사용할 수 있더라도, 상기 중앙 가스 공급 라이너 모듈(2094)은 알루미늄일 수 있다. 상기 반응기의 각각의 구멍 또는 가스 인렛은 유사한 플라즈마 구속 자석 라이너 모듈을 가질 수 있다.
상기 시일링내의 라이너 모듈 내부에 중앙 가스 공급 플라즈마 구속 자석을 배치하는 대신에, 상기 자석은 라이너 모듈을 전혀 사용하지 않고 상기 시일링의 상부에 배치될 수 있다.
여기에서 참조되는 상기 라이너 모듈은 필수적으로 상기 챔버의 라이너에 필수불가결하지 않을 수도 있지만, 대신에 라이너로서 사용되지 않고 간단히 플라즈마 구속 자석을 위한 보호용 하우징(예를 들면, 챔버 내부 표면을 커버하는 제거가능한 부품)으로 사용될 수 있다.
이전에 참조된 상기 플라즈마 구속 자석쌍의 자기 방향은 이미 참조된 계류중인 출원중 하나, 즉 미국 특허 일련번호 제08/597,577호의 개시에 대응하는 도 31a-31e에 도시된 임의의 조건에 따를 수 있다.
시일링의 불균일한 가열/냉각의 극복
다시 도 27을 참조하면, 상기 시일링(52)으로부터 상기 냉각 플레이트(74)로의 열전도성 링(75)을 통한 열전달은 상기 냉각 플레이트(74)와 열전도성 링(75) 사이의 갭(74′)에 걸친 열저항에 의존한다. 상기 저항은 차례로 표면 수평성 및 상기 링(75)이 상기 냉각 플레이트(74)에 대해 홀딩되는 힘에 의존하는 갭(74′)에 주로 의존한다. 상기 열전도성 링(75)과 상기 냉각 플레이트(74) 사이의 모든갭(74′) 사이의 열저항이 적어도 거의 동일하지 않다면, 상기 동심적 열전도성 링(75) 중 다른 링으로부터 상기 냉각 플레이트(74)로의 열전달은 다를 것이다. 각각의 링(75)의 서로 다른 영역이 상기 시일링(52)의 다른 영역에 접촉하기 때문에, 다른 링(75)에 의한 열전달의 불균형은 상기 시일링(52)의 표면에 걸쳐 공간적으로 불균일한 열전달 분포를 형성한다. 그러므로, 상기 분포된 가열기 램프(72)에 의해 상기 시일링(52)이 균일하게 가열되면, 상기 시일링(52)에 걸친 불균일한 열전달 분포는 상기 시일링(52)에 걸친 온도 차이, 즉 상당한 문제를 발생시킬 것이다. 15인치 직경의 시일링(52)에 걸친 상당히 균일한 온도 분포가 상기 냉각 플레이트의 전체 직경에 걸쳐 1/10 내지 2/10 밀(1/1000인치)의 허용 오차 내에서 유지될 수 있도록 상기 냉각 플레이트(74)와 링(75) 사이의 갭을 요구하는 이런 문제를 방지하는 것은 거의 불가능하게 보여진다. 실리콘 카바이드 재료를 사용하는 경우 상기 허용 오차는 실제로 2/10 내지 3/10이고, 알루미늄 재료를 사용하는 경우 상기 허용 오차는 5/10 이상이다. 그러므로, 상기 냉각 플레이트(74)와 열전도성 링(75)이 얼마나 상호 견고히 조여질 수 있는가에 따라, 상기 시일링(52)에는 그것의 직경에 걸쳐 과도한 온도 차이가 발생될 수 있다.
상기 냉각 플레이트(74)가 열전도성 링(75)으로부터 상향으로 힌지될 수 있도록 하고(어떤 전기적 또는 가스 또는 냉각제 접속 또는 결합을 파손시키지 않고) 균일한 열접촉 저항을 제공하는 각각의 열전도성 링(75)과 냉각 플레이트(74) 사이의 인터페이스가 요구된다. 빠른 제거성은 시일링의 주기적 유지 또는 대체를 위해 필요하다. 그러므로, 상기 냉각 플레이트(74)에 열전도성 링(75)을 결합함으로써 균일한 열접촉 저항을 가지는 인터페이스를 제공하려는 시도는 상기 상황에 의해 제거성이 방해되기 때문에 가능한 해결책은 되지 못한다. 열접촉 저항의 균일성은 상기 갭(74′)에 연성 알루미늄 재료를 사용함으로써 강화될 수 있지만, 이것은 상기 냉각 플레이트(74)와 상기 열전도성 링(75) 사이의 너무 큰 압축력을 요구할 것이다(이는 상기 냉각 플레이트(74)에 걸친 상기 갭(74′)의 폭에서의 큰 변화 때문이다). 접촉 저항의 균일성은 상기 갭(74′)에 열전도성 그리스를 사용함으로써 강화될 수 있지만, 이것은 너무 성가시고 상기 플라즈마 처리에서의 높은 오염 수준의 위험이 있을 것이다.
이전에 참조된 적은 갭 허용 오차를 위한 갭(74′) 부품내의 열전도성 층(3010)으로서 크라포일과 같은 열전도성 탄성적 변형 재료의 사용이 상기 냉각 플레이트(74)와 상기 열전도성 링(75) 사이의 과도한 압축력을 요구하지 않고 상기 시일링(52)의 직경에 걸친 비교적 균일한 열접촉 저항을 제공한다는 것을 발견했다(그라포일은 UCAR 카본사에 의해 제조 판매되고 있다). 상기 요구된 압축력은 상기 갭(74′)의 내부에 배치된 탄성적으로 변형된 열전도성 층(3010)의 두께를 감소시킴으로써 감소된다. 상기 층(3010)은 상기 냉각 플레이트(74)와 상기 열전도성 링(75) 사이의 압축에 의해 탄성적으로 변형된다. 그러나, 상기 층(3010)의 두께는 상기 층(3010)의 탄성적으로 변형가능한 열전도성 재료가 갭 두께의 큰 허용 오차를 보상하도록 하는데 필요한 최소 두께 이상으로 감소될 수 없다. 그러므로, 두께와 단단함 사이에 균형이 있어야 한다. 이런 균형을 최적화하기 위하여, 상기 탄성적으로 변형된 열전도성 층(3010)의 바람직한 두께는 0.04 내지 0.16인치, 가장 바람직하게 0.06 내지 0.125인치의 범위 내에 있어야 한다.
상기 탄성적으로 변형된 열전도성 층(3010)에 관련하여 직면하는 한가지 문제는 상기 유도성 코일(42, 90)로부터 상기 층(3010)이 RF 전력을 흡수하여 상기 냉각 플레이트(74)로 열을 분로한다는 것이다. 이런 문제는 상기 코일(42, 90)로부터 RF 유도계를 반사하는 상기 열전도성 층(3010)과 상기 열전도성 링(75) 사이에 전기적 도전층(3020)을 배치함으로써 해결되었고, 그 결과 상기 열전도성 층(3010)에 의한 RF 전력의 흡수를 방지한다. 상기 전기적 도전층(3020)은 알루미늄으로 이루어지고 1-10밀, 바람직하게 2-3밀의 두께를 가진다. 편리하게, 이전에 참조된 상기 크라포일의 공급자는 상기 크라포일 테이프의 한쪽에 알루미늄 코팅을 가진 그라포일 테이프를 공급하고 있다. 예를 들면, 구리, 니켈, 은 또는 금과 같은 알루미늄 외의 적당한 재료가 반사층(3020)으로서 사용될 수 있다. 이런 재료는 상기 코일(42, 90)로부터 유도성 RF계로의 충분한 열전도성과 높은 반사율이라는 이중의 요구에 부합해야 한다.
상기 열전도성 층(3010)을 위한 상기 바람직한 재료, 즉 알루미늄 적층된 그라포일 테이프의 장점은 탄성적으로 변형가능하고, 얇고, 상기 냉각 플레이트(74)와 상기 열전도성 링(75) 둘다로부터 쉽사리 제거 가능하다는 열전도성 재료에 대한 요구에 부합되고, 반면에 상기 그라포일의 알루미늄 코팅이 상기 RF 유도계에 대한 양호한 반사기를 제공한다는 점이다.
한가지 가능한 대안에 따르면, 상기 냉각 플레이트(74)와 상기 열전도성 링(75) 사이의 탄성적으로 변형된 열전도성 층(3010)의 배치에 부가적으로, 각각의열전도성 링(75)과 반도체 시일링(52) 사이의 갭(75′)에 걸친 열접촉 저항이 상기 시일링(52)과 각각의 열전도성 링(75) 사이의 갭(75′)에 탄성적으로 변형가능한 열전도성 재료의 유사층을 사용하여 개선될 수 있다. 그러므로, 탄성적으로 변형된 열전도성 층(3035)(이를테면 그라포일)이 각각의 열전도성 링(75)과 시일링(52) 사이의 갭(75′)에 배치될 수 있다. 그러나. 상기 반도체 시일링(52)과 열전도성 링(75)은 상기 링(75)과 상기 시일링(52)이 열전달을 최적화하기 위해 서로 결합되기 때문에 상기 링(75)이 바람직하게 상기 시일링(52)으로부터 분리되지 않도록 단일 모듈식 어셈블리를 구성한다.
모듈 방식 및 강화된 생산성
모듈 방식(분리성)은 유지의 용이함을 위해 중요하다. 상기 냉각 플레이트(74), 소스 전력 코일(42, 90) 및 가열기 램프(72)를 포함하는 상부 어셈블리(3040)는 상기 열전도성 링(75)과 상기 반도체 시일링(52)을 포함하는 하부 어셈블리(3050)로부터 개별적으로 힌지가능하다. 상기 하부 어셈블리(3050) 자체는 상기 챔버로부터 힌지가능하다. 상기 상부 어셈블리(3040)와 하부 어셈블리(3050)의 분리성은 상기 반도체 시일링(52)이 유체와 전기적 접속의 파손 없이 대체될 수 있도록 한다. 이런 대체는 100,000개 웨이퍼의 처리후 필요하다. 상기 하부 어셈블리(3050)의 분리성(그것에 부착된 상부 어셈블리에서 분리되는 성질)은 유체 또는 전기적 접속의 파손 없이 와이핑을 위한 챔버 내부 표면뿐만 아니라 제거 및 세정을 위한 플라즈마 구속 자석 모듈(2010, 2020)에 대한 액세스도 허용한다. 이것은 3,000 내지 4,000개 웨이퍼 처리후 요구될 수 있다.
도 27에 도시되지 않은 것은 힌징 장치(상기 냉각 플레이트(74)의 힌징과 상기 시일링(52)의 힌징을 위한) 및 상기 열전도성 링(75) 위에 상기 냉각 플레이트(74)를 클램핑하고 상기 자석 라이너 모듈(2010) 위에 상기 실리콘 시일링(52)을 클램핑하기 위한 클램핑 장치이다.
반도체 리프트 핀을 갖는 정전기 척
본 발명의 다른 특징에 따르면, 정전기 척은 웨이퍼를 디척킹할 때 플라즈마를 통한 웨이퍼 방전의 필요성을 제거하는 특징으로 강화된다. 일반적으로, 정전기 척으로부터 웨이퍼를 디척킹하기 위하여,
(1) 상기 웨이퍼와 정전기 척 사이의 He 가스 진공을 해제하고;
(2) 상기 정전기 척의 후면을 접지하고;
(3) 상기 웨이퍼가 상기 플라즈마를 통해 방전될 때까지 대기한 다음, 웨이퍼를 제거하는 단계가 수행되어야 한다.
상기 방법과 관련한 문제는 두꺼운 유전체 코팅을 가지는 웨이퍼가 플라즈마를 통한 웨이퍼의 방전 속도를 떨어뜨리고, 또는 완전한 방전을 방해하고, 그 결과 과도한 힘이 웨이퍼를 제거하는데 요구된다는 점이다. 또는, 훨씬 많은 전하가 웨이퍼에 축적되어 있는 경우, 상기 웨이퍼는 실용적인 시간 내에 완전히 방전될 수 없다.
본 발명은 웨이퍼를 제거 또는 디척킹할 때마다 웨이퍼의 후면에 접촉하도록 상승되는 척내에 접지된 반도체 핀 또는 리프트 핀을 제공함으로써 종래 정전기 척을 사용하여 상기 문제를 극복한다. 상기 웨이퍼는 웨이퍼의 후면으로부터 반도체핀으로의 오믹 콘택 또는 터널링 또는 표면 누설에 의해 방전된다. 도 27을 참조하면, 상기 정전기 척(54)은 상기 웨이퍼(56)와 상기 척(54) 사이의 정전기 척 유전체층(54a)에 걸쳐 인가되는 전계를 통한 정전기력에 의해 웨이퍼(56) 하부를 홀딩한다. 상기 정전기력은 도면에 표시된 바와 같이 임시로 상기 척을 전압원에 접속함으로써 상기 정전기 척(54)을 대전시켜 형성될 수 있다. 상기 정전기 척(54)은 상기 웨이퍼의 후면을 향해 상기 척(54)을 통해 위쪽으로 연장하는 하나 이상의 복수의 반도체 리프트 핀(4010)의 부가로 강화된다. 상기 반도체 핀(4010)의 반대쪽 단부를 지지하는 리프트 스파이더(4020)는 상기 반도체 리프트 핀(4010)이 요구된 바대로 위 또는 아래로 이동될 수 있도록 액추에이터(4030)를 상승 또는 하강시킴으로써 이동된다. 상기 웨이퍼를 디척킹하기 위해, 상기 반도체 리프트 핀은 접지되고 상기 액추에이터(4030)는 반도체 리프트 핀이 웨이퍼 후면에 접촉할 때까지 상기 리프트 스파이더(4020)를 위쪽으로 이동시킨다. 다음에 상기 웨이퍼는 매우 빠르게 방전하고, 이후에 상기 웨이퍼가 제거될 수 있다. 장점은 웨이퍼가 두꺼운 유전체 코팅 또는 대량으로 축적된 전하를 가지는지의 여부에 무관하게 완전히 방전되기 때문에 디척킹 동안 웨이퍼 파손 위험이 줄어든다는 것이다. 바람직하게, 상기 반도체 리프트 핀(4010)은 이들이 실리콘과 같은 어떤 적당한 반도체 재료가 될 수 있더라도 실리콘 카바이드로 이루어진다. 상기 실리콘 카바이드 재료는 화학 기상 증착에 의해 형성될 수 있다. 많은 경우에 단 하나의 핀이면 충분할 수 있다.
반도체 접지 또는 금속 핀 상의 리프트 핀의 단점은 금속의 도전성이 너무커서 웨이퍼 후면에서의 아킹을 방지하는데 저항이 사용되어야 한다는 점이고, 상기 저항의 사용에도 불구하고 금속핀은 그것으로부터 반응기내의 다른 위치로 초래되는 아킹 또는 가스 차단 및 전류 분로 때문에 핀의 길이를 따라가는 포인트를 제공한다. 더욱이, 금속 핀은 더욱 마모되기 쉽다. 대조적으로, 반도체(예를 들면, 실리콘 카바이드) 리프트 핀은 더 높은 전기적 저항을 가지고, 그러므로 아킹을 위한 상당한 위험을 취하지 않아 오래 견디게 된다.
정전기 척 실리콘 카바이드 칼라
상기 정전기 척(54)은 주변 근처에 실리콘 카바이드 칼라(4050)의 부가로 더욱 강화될 수 있다. 상기 실리콘 카바이드 칼라(4050)는 화학 기상 증착에 의해 형성될 수 있다. 상기 실리콘 카바이드 칼라(4050)는 상기 정전기 척(54)과 상기 가열되는 실리콘 링(62) 사이에 있다. 상기 칼라(4050)는 바람직하게 도면에 도시된 바와 같이 높이에서 상기 정전기 척(54)과 동일한 공간에 걸쳐있다. 그러나, 상기 칼라(4050)는 일부 실시예에서 상기 척(54) 위에 지지된 웨이퍼의 에지를 커버하도록 상기 척(54)의 평면 위로 연장할 수 있다.
상기 반도체 칼라(4050)는 상기 정전기 척의 에칭을 방지하는데, 그렇지 않으면 상기 정전기 척의 오염을 초래할 수 있고 빈번한 교체로 비용이 많이 든다. 더욱이, 상기 칼라(4050)의 반도체 재료는 수정 같은 다른 재료보다 에칭에 대해 덜 영향을 받는다(또는 더욱 느리게 에칭된다).
가열되는 실리콘 링내의 슬릿
상기 가열되는 실리콘 링(62)은 도 29에 도시된 바와 같이 방사형슬릿(4060)의 설비에 의해 강화될 수 있다. 상기 슬릿(4060)은 파손 없이 상기 실리콘 링(62)의 더 큰 열팽창을 허용한다.
방위각상 균일한 수의 권선을 갖는 RF 유도 코일
계류중인 출원에서 이전에 개시된 바와 같이, 유도성 안테나가 다중 동일 평면상의 원형 권선(단일의 나선형 권선으로부터 구별되는 바와 같은)으로 형성될 수 있다. 각각의 권선은 인접한 평면 사이의 접속기내의 스텝부에 의해 이웃하는 권선에 접속된다. 이것은 도 30에 도시되어 있는데, 적층된 다중 평면 원형 권선(5010)이 인접한 평면으로부터 강하하는 하나의 단부(5020)에서 시작하여 다음의 인접한 평면 내로 강하하는 다른 단부(5040)에서 종결한다. 상기 상승 또는 강하하는 단부(5020, 5040)는 다중 권선(5010)이 형성되는 모노리틱 도체(5065)내의 스텝부(5060)를 정의한다. 상기 스택내의 권선 수는 상기 접속기(5065)에 있는 스텝부(5060)에 기인하여 본래 불 균일하다. 부분적으로, 이런 불 균일하다하다 상기 권선(5010)의 평면에 평행한 방향으로부터 수직 방향으로의 급한 감김에 의한 스택으로부터 상기 상부 권선(5010a)의 가파른 출발 때문이다. 이런 가파른 출발은 적층되는 하부에서 상부까지의 권선수의 부족을 형성하여, 불균일성의 증가를 가져온다.
본 발명의 특징에 따르면, 이런 불균일성은 접속기(5065)에 있는 스텝부(5060)의 한 단부(5060a)에서 다른 단부(5060b)로 연장하여 상향으로 상승하는 아치형 경로(예를 들면, 원형 경로)를 따라 접속기(5065)의 하부 굴곡부 레그(5070)를 진행시킴으로써 보상된다. 상기 하부 굴곡부 레그(5070)의 원형 경로 반경은 상기 권선(5010)의 평면에 거의 평행한 스텝 단부(5060a)에 가까운 최대 인덕턴스에 기여하고 상기 권선(5010)의 평면에 거의 수직한 다른 스텝 단부(5060b) 근처의 최소 인덕턴스에 기여한다. 상기 하부 굴곡부 레그(5070)의 인덕턴스 기여의 부드러운 변화는 최소의 적층된 권선(굴곡부 레그(5070)가 없는)을 가지는 한 단부(5060a)로부터 최대수의 적층된 권선을 가지는 다른 단부(5060b)로의 상기 접속기(5065)에 있는 스텝(5060)의 길이에 따른 변화에 대응한다. 이것은 효율적인 수의 권선으로 최적의 균일성을 제공한다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
크라포일과 같은 열전도성 탄성적 변형 재료의 사용이 냉각 플레이트와 열전도성 링 사이의 과도한 압축력을 요구하지 않고 시일링의 직경에 걸친 균일한 열접촉 저항을 제공하며, 하부 어셈블리의 분리성이 유체 또는 전기적 접속의 파손 없이 제거 및 세정을 위한 플라즈마 구속 자석 모듈뿐만 아니라 와이핑을 위한 챔버 내부 표면에 대한 접근을 허용하여 생산성을 향상시킨다.

Claims (44)

  1. 플라즈마를 구속하기 위한 챔버;
    상기 챔버와 연통하는 통로; 및
    상기 통로에 인접하게 배치된 제 1 제거가능한 플라즈마 구속 자석 모듈을 포함하며, 상기 제 1 모듈은,
    제 1 모듈 하우징, 및
    상기 하우징 내부의 제 1 플라즈마 구속 자석을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  2. 제 1항에 있어서, 상기 통로에 인접하게 배치된 제 2 제거가능한 플라즈마 구속 자석 모듈을 더 포함하는데, 상기 제 2 모듈은,
    제 2 모듈 하우징, 및
    제 2 플라즈마 구속 자석을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  3. 제 2항에 있어서, 상기 제 1 및 제 2 모듈은 상기 통로의 대향하는 측면에 배치되는 것을 특징으로 하는 플라즈마 반응기.
  4. 제 3항에 있어서, 상기 제 1 및 제 2 플라즈마 구속 자석은 상기 통로를 통한 플라즈마의 전달 또는 누출을 방지하게 되는 자기 방향을 가지는 것을 특징으로하는 플라즈마 반응기.
  5. 제 1항에 있어서, 상기 제 1 모듈 하우징은 상대적으로 비자기적인 열전도체를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  6. 제 5항에 있어서, 상기 제 1 모듈은 알루미늄으로 이루어지는 것을 특징으로 하는 플라즈마 반응기.
  7. 제 5항에 있어서, 상기 챔버는 챔버 바디를 포함하며, 상기 제 1 모듈 하우징은 상기 챔버 바디와 열적으로 접촉하는 것을 특징으로 하는 플라즈마 반응기.
  8. 제 7항에 있어서, 상기 챔버 바디에 열적으로 결합된 냉각 장치를 더 포함하여, 상기 제 1 플라즈마 구속 자석을 큐리 온도 이하로 유지하는 것을 특징으로 하는 플라즈마 반응기.
  9. 제 1항에 있어서, 상기 반응기는 상기 챔버 주변에 인접한 펌핑 환형부를 더 포함하며, 상기 통로는 상기 챔버와 상기 펌핑 환형부 사이에서 연통하는 통로를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  10. 제 1항에 있어서, 상기 통로는 웨이퍼 슬릿 밸브를 포함하는 것을 특징으로하는 플라즈마 반응기.
  11. 제 1항에 있어서, 상기 통로는 가스 공급 인렛을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  12. 제 11항에 있어서, 상기 가스 공급 인렛은 상기 챔버의 시일링을 통과하는 중앙 가스 공급부를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  13. 제 1항에 있어서, 상기 반응기는 챔버 측벽과 챔버 시일링을 더 포함하며, 상기 제 1 모듈 하우징은 상기 측벽 상에 안착되고 상기 시일링은 상기 제 1 모듈 하우징 상에 안착되는 것을 특징으로 하는 플라즈마 반응기.
  14. 제 5항에 있어서, 상기 모듈 하우징은 개구부를 포함하고, 상기 제 1 자석은 상기 개구부의 내부에 배치되고, 상기 모듈 하우징은,
    상기 제 1 자석을 상기 개구부의 내부에 있는 내부 표면에 부착하는 결합층, 및
    상기 제 1 모듈 하우징의 내부에 상기 제 1 자석을 밀봉하도록 상기 개구부를 커버하는 커버 플레이트를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  15. 제 14항에 있어서, 상기 커버 플레이트와 상기 제 1 모듈 하우징 사이에 용접 결합부를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  16. 제 15항에 있어서, 상기 용접 결합부는 (a) E-빔 용접, (b) 레이저 용접 중 하나에 의해 형성되는 것을 특징으로 하는 플라즈마 반응기.
  17. 시일링을 포함하는 반응기 챔버 밀봉체;
    상기 시일링의 상부에 안착하는 다수의 냉각 링;
    상기 다수의 냉각 링 위에 놓이는 냉각 플레이트; 및
    상기 각각의 다수의 냉각 링과 상기 냉각 플레이트 사이에 탄성적으로 변형가능한 열전도성 층을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  18. 제 17항에 있어서, 상기 탄성적으로 변형가능한 열전도층은 그라포일인 것을 특징으로 하는 플라즈마 반응기.
  19. 제 17항에 있어서, 상기 탄성적으로 변형가능한 열전도층과 각각의 냉각 링 사이에 RF 반사기 코팅을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  20. 제 19항에 있어서, 상기 RF 반사기 코팅은 열전도성을 가지는 것을 특징으로 하는 플라즈마 반응기.
  21. 제 20항에 있어서, 상기 RF 반사기 코팅은 전기적 도전성을 가지는 것을 특징으로 하는 플라즈마 반응기.
  22. 제 21항에 있어서, 상기 RF 반사기 코팅은 알루미늄으로 이루어지는 것을 특징으로 하는 플라즈마 반응기.
  23. 제 19항에 있어서, 상기 시일링을 통해 상기 챔버내로 RF 전력을 결합할 수 있는 상기 냉각 플레이트와 상기 시일링 사이에 RF 전력 어플리케이터를 더 포함하며, 그 결과 상기 반사기 코팅은 상기 탄성적으로 변형가능한 층에 의한 전력 흡수를 방지하도록 RF 전력을 반사하는 것을 특징으로 하는 플라즈마 반응기.
  24. 반응기 챔버;
    상기 챔버 내에 제품을 지지하기 위한 정전기 척; 및
    상기 척이 상기 제품을 지지할 수 있는 평면을 향해 상기 정전기 척을 통과하여 연장하는 적어도 하나의 반도체 접지 핀을 포함하며, 상기 핀은 상기 제품에 접촉할 수 있는 연장된 위치와 후퇴 위치 사이에서 이동가능한 것을 특징으로 하는 플라즈마 반응기.
  25. 제 24항에 있어서, 상기 핀을 지지하는 프레임을 더 포함하며, 상기 프레임은 접지 전위에 접속가능한 것을 특징으로 하는 플라즈마 반응기.
  26. 제 25항에 있어서, 상기 연장 위치와 후퇴 위치 사이에서 상기 핀이 이동하도록 상기 프레임을 이동시키기 위한 액추에이터를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  27. 제 24항에 있어서, 상기 핀은 실리콘으로 이루어지는 것을 특징으로 하는 플라즈마 반응기.
  28. 제 24항에 있어서, 상기 핀은 실리콘 카바이드로 이루어지는 것을 특징으로 하는 플라즈마 반응기.
  29. 제 24항에 있어서, 상기 척으로부터 상기 제품을 절연하기 위해 상기 정전기 척 위에 놓이는 유전체층을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  30. 제 29항에 있어서, 상기 정전기 척은 접지 전위와 전압원에 교대로 접속가능하며, 상기 반도체 핀은 접지 전위에 접속되는 것을 특징으로 하는 플라즈마 반응기.
  31. 제 24항에 있어서, 상기 정전기 척의 주변 근처에 반도체 칼라를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  32. 제 31항에 있어서, 상기 반도체 칼라는 실리콘 카바이드로 이루어지는 것을 특징으로 하는 플라즈마 반응기.
  33. 제 31항에 있어서, 상기 정전기 척을 둘러싸는 가열되는 반도체 링을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  34. 챔버;
    상기 챔버 내부에서 제품을 지지하기 위한 제품 척;
    RF 전력 어플리케이터 및 상기 챔버 내로 처리 가스를 유입하기 위한 가스 인렛 장치;
    상기 제품 척을 둘러싸는 반도체 링 및 상기 반도체 링을 가열하기 위한 가열 장치; 및
    상기 반도체 링의 열팽창을 허용하기 위한 상기 반도체 링내의 슬릿을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  35. 제 34항에 있어서, 상기 반도체 링은 (a) 실리콘 카바이드와 (b) 실리콘 중 어느 하나로 이루어지는 것을 특징으로 하는 플라즈마 반응기.
  36. 제 34항에 있어서, 상기 가열 장치는 상기 반도체 링의 하부에 놓이는 다수의 복사열 램프를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  37. 챔버;
    상기 챔버 내부에 제품을 지지하기 위한 정전기 척;
    RF 전력 어플리케이터 및 상기 챔버 내로 처리 가스를 유입하기 위한 가스 인렛 장치; 및
    상기 정전기 척의 주변에 있고, 화학 기상 증착에 의해 형성되는 반도체 칼라를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  38. 제 37항에 있어서, 상기 반도체 칼라는 실리콘 카바이드로 이루어지는 것을 특징으로 하는 플라즈마 반응기.
  39. 챔버;
    상기 챔버 내부에 제품을 지지하기 위한 정전기 척;
    RF 전력 어플리케이터 및 처리 가스 인렛 장치; 및
    상기 정전기 척의 주변에 있는 실리콘 카바이드 칼라를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  40. 제 39항에 있어서, 상기 정전기 척과 상기 실리콘 카바이드 칼라를 둘러싸는 반도체 링을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  41. 시일링을 포함하는 챔버;
    상기 챔버 내부에 제품을 지지하기 위한 페데스탈;
    처리 가스 인렛 장치; 및
    다수의 적층형 코일 권선을 갖는 유도성 안테나를 포함하며, 상기 각각의 코일 권선은 다수의 평행한 평면중 개별적인 평면에 존재하고, 인접한 상기 평면에 있는 권선은 상기 각각의 권선이 한 평면으로부터 다음 평면으로 변이하는 상기 모든 평면에 공통인 스텝 영역에 접속되고, 상기 코일 권선은 한쌍의 접속 섹션을 가지는 단일 도체로 형성되고, 상기 접속 섹션 중 제 1 섹션은 상기 권선 중 가장 상부의 권선으로부터 연장하고, 상기 접속 섹션 중 제 2 섹션은 상기 권선 중 가장 하부의 권선으로부터 연정하고, 상기 제 1 접속 섹션은 상기 가장 상부의 권선으로부터 위쪽으로 멀리 연장하며, 상기 제 2 접속 섹션은 상기 스텝 영역을 따라 균일하게 되는 효율적인 수로 적층된 권선을 제공하도록 상기 가장 하부의 권선으로부터 상기 가장 상부의 권선까지 위쪽으로 아치형 경로를 따라가는 것을 특징으로 하는 플라즈마 반응기.
  42. 제 41항에 있어서, 상기 아치형 경로는 상기 제 2 접속 섹션이 상기 가장 하부의 권선에 인접한 평면에 거의 평행하고 상기 가장 상부의 권선에 인접한 상기 평행한 평면에 거의 수직하도록 구성되는 것을 특징으로 하는 플라즈마 반응기.
  43. 시일링을 포함하는 챔버;
    상기 챔버 내에 제품을 지지하기 위한 페데스탈;
    처리 가스 인렛 장치; 및
    다수의 적층된 코일 권선을 포함하는 유도성 안테나를 포함하며, 상기 각각의 코일 권선은 다수의 평행한 개별적인 평면에 존재하고, 인접한 평면내의 상기 권선은 각각의 권선이 한 평면으로부터 다음 평면으로 변이하는 상기 모든 평면에 공통인 스텝 영역에 접속되며, 상기 안테나는 상기 스텝 영역의 하나의 방사각상의 단부로부터 상기 권선의 가장 상부의 권선의 평면을 향해 상기 스텝 영역의 다른 방사각상의 단부까지 위쪽으로 연장하는 상기 권선중 가장 하부의 권선에 결합되는 도체를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  44. 제 43항에 있어서, 상기 위쪽으로 연장하는 도체는 위쪽으로 구부러지는 아치형 경로를 따라가는 것을 특징으로 하는 플라즈마 반응기.
KR10-1998-0027562A 1997-07-15 1998-07-09 오버헤드솔레노이드안테나및모듈식플라즈마구속자석라이너를가지는유도결합된rf플라즈마반응기 KR100369720B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/893,393 1997-07-14
US08/893,393 US6074512A (en) 1991-06-27 1997-07-15 Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US08/893,393 1997-07-15

Publications (2)

Publication Number Publication Date
KR19990013713A KR19990013713A (ko) 1999-02-25
KR100369720B1 true KR100369720B1 (ko) 2003-03-17

Family

ID=25401496

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0027562A KR100369720B1 (ko) 1997-07-15 1998-07-09 오버헤드솔레노이드안테나및모듈식플라즈마구속자석라이너를가지는유도결합된rf플라즈마반응기

Country Status (5)

Country Link
US (2) US6074512A (ko)
EP (1) EP0892422A3 (ko)
JP (1) JP4299896B2 (ko)
KR (1) KR100369720B1 (ko)
TW (1) TW399396B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버

Families Citing this family (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
JP2000164565A (ja) * 1998-11-26 2000-06-16 Sony Corp 半導体製造装置
US6589437B1 (en) * 1999-03-05 2003-07-08 Applied Materials, Inc. Active species control with time-modulated plasma
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
JP4580486B2 (ja) * 1999-09-13 2010-11-10 株式会社日立製作所 半導体処理装置
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6562189B1 (en) * 2000-05-19 2003-05-13 Applied Materials Inc. Plasma reactor with a tri-magnet plasma confinement apparatus
WO2002005308A2 (en) * 2000-07-06 2002-01-17 Applied Materials, Inc. A plasma reactor having a symmetric parallel conductor coil antenna
TW557532B (en) * 2000-07-25 2003-10-11 Applied Materials Inc Heated substrate support assembly and method
FR2814079B1 (fr) * 2000-09-15 2005-05-13 Absys Systeme de sterilisation par plasma
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US20030084999A1 (en) * 2001-11-05 2003-05-08 Tokyo Electron Limited Apparatus and method for mitigating chamber resonances in plasma processing
US20030192646A1 (en) * 2002-04-12 2003-10-16 Applied Materials, Inc. Plasma processing chamber having magnetic assembly and method
US7354501B2 (en) * 2002-05-17 2008-04-08 Applied Materials, Inc. Upper chamber for high density plasma CVD
US7074298B2 (en) * 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
DE20210328U1 (de) * 2002-07-04 2002-09-12 Eltro Gmbh Plasmareaktor
JP4302630B2 (ja) * 2002-07-26 2009-07-29 プラズマート カンパニー リミテッド 誘導結合型プラズマ発生装置
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US7059268B2 (en) * 2002-12-20 2006-06-13 Tokyo Electron Limited Method, apparatus and magnet assembly for enhancing and localizing a capacitively coupled plasma
JP3969324B2 (ja) * 2003-02-27 2007-09-05 富士ゼロックス株式会社 カーボンナノチューブの製造装置
US7126808B2 (en) * 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US8236105B2 (en) 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US7652223B2 (en) * 2005-06-13 2010-01-26 Applied Materials, Inc. Electron beam welding of sputtering target tiles
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
KR100798043B1 (ko) * 2005-12-16 2008-02-04 주식회사 래디언테크 플라즈마 처리 방법 및 장치
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
WO2007130811A2 (en) * 2006-05-05 2007-11-15 3M Innovative Properties Company Tubular terminal for a cable
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US20080000768A1 (en) * 2006-06-30 2008-01-03 Stimson Bradley O Electrically Coupled Target Panels
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US8444926B2 (en) 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
KR101383247B1 (ko) * 2007-09-01 2014-04-08 최대규 향상된 유도 결합 플라즈마 소스를 이용한 박막 식각 방법
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9953849B2 (en) 2008-06-20 2018-04-24 Varian Semiconductor Equipment Associates, Inc. Platen for reducing particle contamination on a substrate and a method thereof
US8681472B2 (en) * 2008-06-20 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Platen ground pin for connecting substrate to ground
CN102077320B (zh) * 2008-07-04 2013-01-23 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和介电体窗的温度调节机构
JP2010016225A (ja) 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
ES2359054B1 (es) * 2008-11-17 2012-04-02 CONSEJO SUPERIOR DE INVESTIGACIONES CIENT�?FICAS (CSIC) (Titular al 67%) Reactor de plasma.
CN102460650B (zh) * 2009-06-24 2014-10-01 佳能安内华股份有限公司 真空加热/冷却装置及磁阻元件的制造方法
US20100326602A1 (en) * 2009-06-30 2010-12-30 Intevac, Inc. Electrostatic chuck
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
KR101246191B1 (ko) * 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
JP5977986B2 (ja) * 2011-11-08 2016-08-24 株式会社日立ハイテクノロジーズ 熱処理装置
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
SG11201402553UA (en) * 2011-11-23 2014-09-26 Lam Res Corp Dual zone temperature control of upper electrodes
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9950387B2 (en) 2012-10-18 2018-04-24 Hypertherm, Inc. Plasma torch power circuit and cooling system
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
CN105655220B (zh) * 2014-11-12 2018-01-02 中微半导体设备(上海)有限公司 电感耦合型等离子体处理装置
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102020124540B4 (de) 2020-09-21 2022-09-29 VON ARDENNE Asset GmbH & Co. KG Temperiervorrichtung und Vakuumanordnung
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
JPH07230897A (ja) * 1994-02-15 1995-08-29 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置
JPH07235396A (ja) * 1992-02-21 1995-09-05 Hitachi Ltd プラズマ処理方法及びその装置
JPH07297176A (ja) * 1994-04-25 1995-11-10 Kokusai Electric Co Ltd プラズマ処理方法及びその装置
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
JPH098009A (ja) * 1995-06-16 1997-01-10 Tokyo Electron Ltd プラズマ処理装置
WO1997008734A1 (en) * 1995-08-30 1997-03-06 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB231197A (en) 1924-03-24 1925-08-06 Peter August Nordling Improvement in hooks and the like
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4498458A (en) * 1981-09-23 1985-02-12 Soper Louis H Solar heating panel
EP0082015A1 (en) * 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
CA1247757A (en) * 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
FR2583250B1 (fr) * 1985-06-07 1989-06-30 France Etat Procede et dispositif d'excitation d'un plasma par micro-ondes a la resonance cyclotronique electronique
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
GB8622820D0 (en) * 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
DE58904540D1 (de) * 1988-03-24 1993-07-08 Siemens Ag Verfahren und vorrichtung zum herstellen von aus amorphen silizium-germanium-legierungen bestehenden halbleiterschichten nach der glimmentladungstechnik, insbesondere fuer solarzellen.
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
DE3942964A1 (de) 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
WO1991010341A1 (en) 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
JPH06103683B2 (ja) * 1990-08-07 1994-12-14 株式会社東芝 静電吸着方法
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
FI915231A (fi) 1990-11-08 1992-05-09 Lonza Ag Mikrobiologiskt foerfarande foer framstaellning av hydroxylerade pyrazinderivat.
JP2794936B2 (ja) * 1990-11-20 1998-09-10 富士電機株式会社 プラズマ処理装置
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
ATE173405T1 (de) * 1991-07-10 1998-12-15 Takeda Chemical Industries Ltd Arzneimittel auf basis von hyaluronsäure
KR100297358B1 (ko) * 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
KR100238629B1 (ko) * 1992-12-17 2000-01-15 히가시 데쓰로 정전척을 가지는 재치대 및 이것을 이용한 플라즈마 처리장치
JPH06196421A (ja) * 1992-12-23 1994-07-15 Sumitomo Metal Ind Ltd プラズマ装置
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5518547A (en) * 1993-12-23 1996-05-21 International Business Machines Corporation Method and apparatus for reducing particulates in a plasma tool through steady state flows
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
JPH07235396A (ja) * 1992-02-21 1995-09-05 Hitachi Ltd プラズマ処理方法及びその装置
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07230897A (ja) * 1994-02-15 1995-08-29 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置
JPH07297176A (ja) * 1994-04-25 1995-11-10 Kokusai Electric Co Ltd プラズマ処理方法及びその装置
JPH098009A (ja) * 1995-06-16 1997-01-10 Tokyo Electron Ltd プラズマ処理装置
WO1997008734A1 (en) * 1995-08-30 1997-03-06 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100720988B1 (ko) * 2006-03-10 2007-05-28 위순임 매설된 유도 안테나를 구비하는 플라즈마 처리 챔버

Also Published As

Publication number Publication date
KR19990013713A (ko) 1999-02-25
US6454898B1 (en) 2002-09-24
EP0892422A2 (en) 1999-01-20
JPH11154600A (ja) 1999-06-08
JP4299896B2 (ja) 2009-07-22
TW399396B (en) 2000-07-21
US6074512A (en) 2000-06-13
EP0892422A3 (en) 1999-04-14

Similar Documents

Publication Publication Date Title
KR100369720B1 (ko) 오버헤드솔레노이드안테나및모듈식플라즈마구속자석라이너를가지는유도결합된rf플라즈마반응기
US6365063B2 (en) Plasma reactor having a dual mode RF power application
US6095083A (en) Vacuum processing chamber having multi-mode access
US5716451A (en) Plasma processing apparatus
TWI404163B (zh) 用於改良式半導體處理均勻性之熱傳導系統、用於處理一基板之電漿處理系統以及在一處理腔室中處理一基板之方法
US6568346B2 (en) Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
US6916399B1 (en) Temperature controlled window with a fluid supply system
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP4698222B2 (ja) プラズマを径方向に均一に分布する容量結合プラズマリアクタ
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
KR102092623B1 (ko) 플라스마 처리 장치
KR102089949B1 (ko) 기판 처리 장치 및 기판 처리 장치의 부품
KR20000023689A (ko) 다중-구역 고-밀도 유도-결합된 플라즈마 발생을 위한 장치 및 방법
JPH11135296A (ja) マルチモードアクセスを有する真空処理チャンバ
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
KR102644459B1 (ko) 절연 플레이트 및 이를 포함하는 기판 처리 장치
KR102007394B1 (ko) 플라즈마 발생 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20061229

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee