JP2002520872A - ポリシリコン用ドーピング無依存式自己清浄エッチング処理 - Google Patents

ポリシリコン用ドーピング無依存式自己清浄エッチング処理

Info

Publication number
JP2002520872A
JP2002520872A JP2000560302A JP2000560302A JP2002520872A JP 2002520872 A JP2002520872 A JP 2002520872A JP 2000560302 A JP2000560302 A JP 2000560302A JP 2000560302 A JP2000560302 A JP 2000560302A JP 2002520872 A JP2002520872 A JP 2002520872A
Authority
JP
Japan
Prior art keywords
gas
etching
processing chamber
substrate
volume flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000560302A
Other languages
English (en)
Other versions
JP2002520872A5 (ja
Inventor
パドマパーニ ナラン
ジェフリー シン
スティーブン ユーエン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002520872A publication Critical patent/JP2002520872A/ja
Publication of JP2002520872A5 publication Critical patent/JP2002520872A5/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • C30B33/08Etching
    • C30B33/12Etching in gas atmosphere or plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 基板(14)上のポリシリコンである層(28)をエッチングする方法は、好ましくは、処理チャンバ(50)の支持台(75)上に該基板を置く段階を含む。該基板(45)は、好ましくは、HBr、Br2、又は、CH3Brである臭素含有ガス、好ましくは、Cl2、又は、HClである塩素含有ガス、好ましくは、NF3、CF4、又は、SF6である無機フッ素化ガス、及び、随意的にHeで希釈された酸素ガスを含む活性化された処理ガスに曝される。該ガス成分の体積流量比は、該活性化処理ガスが該ポリシリコン層(28)の異なるドーパント濃度を有する領域(28a,b)を実質的に同じエッチング速度でエッチングするように選択される。随意的に、ガス組成はまた、該基板(45)のエッチング中に、同時に処理チャンバ(50)の内部表面からエッチング残留物を清浄するように調整される。

Description

【発明の詳細な説明】
【0001】 (技術分野) 本発明は、本明細書において参照される「自己清浄エッチング処理」と題する
1997年11月12日出願の米国特許出願第08/969,122号の一部継
続出願である。 本発明は、基板上のケイ素含有層をエッチングする方法に関する。
【0002】 (背景技術) 集積回路の製造において、二酸化ケイ素、窒化ケイ素、ポリシリコン、ケイ化
金属、及び、単結晶ケイ素層など、基板上に形成されるケイ素含有層は、ゲート
、バイア、接触孔、トレンチ、及び/又は、相互接続線を形成するようにエッチ
ングされる。エッチング処理において、二酸化ケイ素、窒化ケイ素、及び/又は
、フォトレジストを含むパターンマスク層は、従来の方法を使用して基板上に形
成され、基板上のケイ素含有層の露光部分は、マイクロ波又は高周波により活性
化された処理ガスによりエッチングされる。
【0003】 従来のエッチング処理の場合、同じエッチング速度で2つ以上の成分の異なる
領域を含む表面層をエッチングすることが困難なので、1つの問題が生じる。例
えば、より低電圧でより高速な作動速度で作動するように対称的にマッチされた
対として組み立てられた、p−チャネル及びn−チャネルの炭素モリブデン鋼(
CMOS)トランジスタにおいて成分の異なる表面層が生じる。図1aで示すよ
うに、1組の整合トランジスタ10a及び10bは、ホウ素又はリンのようなド
ーパントを添加されてp−井戸12a、及び、n−井戸12bを形成する半導体
基板14に隣接領域を備える。p−井戸12a及びn−井戸12bの離散領域が
注入されて、トランジスタ10a及び10bのソース16、及び、ドレーン18
を形成する。次に、p−井戸12a及びn−井戸12bの境界に重なる基板14
の表面が、従来の写真平板術及びエッチング技術を使ってエッチングされ、分離
構造22用の空隙が開けられる。二酸化ケイ素の層は、基板14の表面に形成さ
れ、分離構造22及び上に重なるゲート酸化物層24を生成する。ポリシリコン
28の層は、ゲート酸化物層24の上に生長し、異なるタイプすなわちn−タイ
プ又はp−タイプのドーパント、及び、異なる濃度のドーパントをドープされ、
各トランジスタ10a及び10bに対するゲート構造28a及び28bを形成す
る。次に、パターンマスク層20は、ポリシリコン層の上に堆積され、エッチン
グされて図1aに示す2重ゲート構造28a及び28bを形成する。
【0004】 従来のエッチング処理を用いて、これら2重にドープされたポリシリコン層2
8がエッチングされる時、異なる領域28a及び28bは、異なるエッチング速
度でエッチングされる。例えば、n−タイプのドーパントを有する領域28aは
、通常、p−タイプのドーパントを有する領域28bよりも20%も速くエッチ
ングされる。また、ドープされた領域は、通常、ドープされていない領域、また
は、注入物が基板の焼きなましにより拡散又は活性化していない領域よりも遥か
に速い速度でエッチングされる。このエッチング速度の相違は、より緩やかにエ
ッチングされた領域には残留物が堆積し、及び/又は、より速くエッチングされ
た領域には過剰なゲート酸化物24の損失をもたらす可能性がある。更に、従来
のエッチング処理はまた、基板14のある領域と他の領域との間に受容しがたい
ほど大きい断面角の変動(3度より大きい)がある形態をエッチングする。断面
角は、エッチングされた形態の側壁と基板平面との作る角である。組成が変動し
ている基板表面の領域を通して一定のエッチング速度で、また、エッチングされ
た形態の断面角が実質的に又は全く変動しないようにエッチングするエッチング
処理を施すことが必要である。
【0005】 ある解決策として、強い化学反応性エッチングガスを使用し、濃度や成分が変
動するドーパントを有する層を通して、より高速でより一定なエッチング速度で
エッチングされる。しかし高度に反応性のエッチングガスは、通常、レジスト層
か基層かに関して、実質的に又は全く選択性を与えず、一般に同一の高いエッチ
ング速度で基層を通してエッチングする。基層がまた、単体ケイ素又はケイ素化
合物を包含する時は、これはとりわけ一般的である。例えば、薄い二酸化ケイ素
ゲート酸化物層の上に重なっているポリシリコン層を通してエッチングする時、
基層を通してエッチングすることなくエッチング処理を止めることが必要である
。すなわち、同様にシリコン種を含む基層に対するエッチング選択性を犠牲にす
ることなく、ポリシリコン層の成分変動領域に対して高速かつ一定なエッチング
速度をもたらすエッチング処理ガスが必要とされる。
【0006】 エッチング処理の間に、側壁及び天井のような処理チャンバの内部表面及び処
理チャンバの内部構成部品の表面上に濃縮及び堆積する、エッチング液残留物の
薄い薄膜を清浄又は除去することが困難であるという別の問題が生じる。エッチ
ング液残留物の組成は、処理ガス、エッチングされた物質の蒸発物質、及び、基
板上のマスク層の成分に左右される。例えば、ケイ化タングステン、ポリシリコ
ン、又は、他のケイ素含有層がエッチングされた時、ケイ素含有ガス状種が蒸発
又は飛散し、エッチング液残留堆積物の主要な成分を形成する。加えて、マスク
層もまた、部分的に蒸発してガス状炭化水素又は酸素含有物を形成し、それが処
理チャンバの内部表面に濃縮する。すなわち、エッチング液残留堆積物は、一般
に、マスク層のフォトレジストから蒸発した炭化水素種、フッ素、塩素、酸素、
又は、窒素のような処理ガス種、及び、基板上でエッチングされるポリシリコン
層から出た蒸発ケイ素含有種を含むポリマー副産物から成っている。エッチング
液残留堆積物の化学組成はまた、局所的気体環境の組成、ガス入口及び排出ポー
トの位置、及び、処理チャンバの幾何学的形状により、処理チャンバの表面に亘
って相当に変化することが可能である。
【0007】 処理チャンバ表面に形成されたエッチング液残留堆積物は、基板14の汚染を
防ぐために定期的に掃除される。一般的には、約25枚の基板の処理後、現場で
プラズマ乾式清浄処理を用いて処理チャンバ表面を乾式清浄する。しかし、エッ
チング液残留堆積物をエッチングする従来の清浄プラズマは、処理チャンバの壁
及び処理チャンバ構成要素を急速に浸食する高エネルギープラズマ種を含み、ま
た、その様な部品や構成要素をしばしば取り替えることは高価である。その上、
多数の基板を処理した後、エッチング処理チャンバは、外気に開放され、湿式清
浄処理が行われ、オペレータは、酸又は溶剤を使用して内部処理チャンバ表面の
蓄積したエッチング液残留堆積物をこすり落とし、溶解する。湿式清浄段階の後
、処理チャンバは、真空環境へ2から3時間ポンプ排気され、湿気や他の取り込
まれていた揮発性種がガス化するようにして、乾燥される。その後で、処理チャ
ンバが一貫性及び再現性のあるエッチング特性をもたらすまで、処理チャンバで
行われるべきエッチング処理が10から15分の間、一連のダミーウェーハを使
って実行される。競争の激しい半導体産業にあっては、基板当たりのコストや、
乾式清浄、湿式清浄、及び、乾燥段階の間の処理チャンバの停止時間の増加は、
非常に問題である。また、清浄処理は、湿式清浄段階がオペレータにより人手で
行われるため、一貫性のない変動するエッチング性能をもたらす。
【0008】 すなわち、異なるドーパント濃度を有するケイ素含有層を、一定のエッチング
速度でしかも基層に対する高度のエッチング選択性を備えてエッチングするエッ
チング処理法が必要である。エッチング処理には、2通りにドープされた、又は
、ドーピング/非ドーピング処理の領域を包含するポリシリコン層を、一定で一
貫性のあるエッチング速度でエッチングすることが特に必要である。エッチング
処理には、処理チャンバの内部表面に形成されたエッチング液残留堆積物を、処
理チャンバ表面を過剰に浸食することなく取り去ることもまた必要である。
【0009】 (発明の開示) 本発明は、基板上のポリシリコン層のエッチング方法を提供する。本方法では
、基板は処理チャンバ内に置かれ、臭素含有ガス、塩素含有ガス、無機フッ素化
ガス、及び、酸素ガスを含む、活性化処理ガスに曝される。 別の態様において、本発明の処理は、基板上のポリシリコン層をエッチングす
る方法と、その一方で、同時に処理チャンバの内部表面を清浄する方法とに関す
る。本方法は、基板を処理チャンバ内に据え付け、基板及び処理チャンバの内部
表面を活性化処理ガスに曝す段階を含んでいる。活性化処理ガスは、HBr、B
2、CH3Brからなる群から選択される臭素含有ガス、Cl2、HClからな
る群から選択される塩素含有ガス、NF3、CF4、SF6からなる群から選択さ
れる無機フッ素化ガス、及び、O2、He−O2からなる群から選択される酸素ガ
スを含んでいる。
【0010】 更に別の態様では、本発明の処理は、基板上のポリシリコン層をエッチングす
る方法に関すると同時に、ポリシリコン層が第1のドーパント濃度の第1の領域
、及び、第2のドーパント濃度の第2の領域を有する処理チャンバの内部表面を
清浄する方法に関する。本方法では、基板上のポリシリコン層及び処理チャンバ
の内部表面は、HBr、Br2、CH3Brからなる群から選択される臭素含有ガ
ス、Cl2、HClからなる群から選択される塩素含有ガス、NF3、CF4、S
6からなる群から選択される無機フッ素化ガス、及びO2、He−O2からなる
群から選択される酸素ガスを含む活性化処理ガスに曝される。無機フッ素化ガス
の体積流量に対する、臭素含有ガス、塩素含有ガス、及び、酸素ガスの体積流量
を合わせた体積流量の体積流量比は、第1及び第2の領域を約10%未満の差の
エッチング速度でエッチングするように選択される。
【0011】 本発明の更に別の態様では、本発明の処理は、基板上のケイ素含有層をエッチ
ングし、同時に処理チャンバの内部表面を清浄する、多段階処理に関する。本方
法では、ケイ素含有層を有する基板が処理チャンバ内に据えられ、第1段階で第
1の活性化処理ガスが処理チャンバに供給される。第1の処理ガスは、基板上の
ケイ素含有層をエッチングするためのエッチングガス、及び、ケイ素含有層のエ
ッチングの間に処理チャンバの内部表面に形成された堆積物を清浄するための清
浄ガスを含む。次に、ポンプ排出段階で、第1の処理ガスの流れが止められ、第
1の処理ガスが処理チャンバから排出される。次に、第2のエッチング段階で、
ケイ素含有層をエッチングするためのエッチングガスを含む第2の活性化処理ガ
スが処理チャンバに供給されるが、第2の活性化処理ガスは、清浄ガスを実質的
に含まない。第2の処理ガスはまた、下層のゲート酸化物層に対する高度の選択
性を備え、それによりゲート酸化物損失を低減する。 本発明のこれら及び他の特徴、態様、及び、利点は、本発明の処理に従って実
行される実施例を図解及び説明する以下の図面及び記述から更に理解されるであ
ろう。
【0012】 (発明を実施するための最良の形態) 本発明に従って基板45をエッチングするのに適する装置40は、図2に概略
で示すように、基板を処理する遮蔽された処理チャンバ50を含んでいる。ここ
に示された装置40の特定の実施形態は、半導体基板45の処理に適し、本発明
を説明するためにのみ準備されたのであり、本発明の範囲を限定するために使用
されるべきではない。遮蔽された処理チャンバ50は、金属、セラミック、ガラ
ス、ポリマー、及び、複合材料を含む多様な材料のいずれかで組み立てられた側
壁55、天井60、底壁65を有するている。処理チャンバ50に形成された処
理区域70は、基板45の直接上にあってそれを囲み、少なくとも約10,00
0立方センチメートルの体積、より好ましくは、約10,000から約50,0
00立方センチメートルを有する。天井60は、平面、弓形、円錐形、ドーム型
、又は、多半径ドーム型であることが可能である。天井60は、処理区域70の
全体積に亘り活性化処理ガスを均一に分配するドーム型であることが好ましい。
この理由は、ドーム型の天井60は、平らな天井よりも基板45から遠く、それ
により基板45の近傍における解離イオンの再結合損失を低減し、基板45表面
全体に亘り、平らな天井よりも均一なイオン密度をもたらすからである。ドーム
天井60は、基板45の上方にドーム形表面をもたらす、平らなドーム形、円錐
形、円錐台形、円筒形、又は、他のその様な形状の組み合わせが可能である。
【0013】 上部に基板45を受け入れるための受け面85を有する支持台75は、処理区
域70に配置される。受け面85は、基板45の実質的に全面を均一に熱し又は
冷却するように、熱転移ガスを保持するサイズが決められ、分配された溝80を
含むことが好ましい。一般的には、溝80に保持される熱転移ガスは、約5から
約30トールの圧力で供給されるヘリウム又はアルゴンを含んでいる。 処理ガスは、処理ガス供給器90、ガス流制御弁95、処理ガス分配器100
を含むガス分配システム85を通して処理チャンバ50内に導入される。処理ガ
ス分配器100は、基板45の周囲に配置されたガス出口105(図示するよう
に)、又は、処理チャンバ50の天井60に設置されガス出口をその中に備えた
シャワーヘッド(図示されていない)を含むことができる。使用済み処理ガス及
びエッチング液副産物は、処理チャンバ50で最小圧力約10-3ミリトールを達
成できる真空ポンプ115(通常1000リットル/秒の荒引きポンプ)を含む
排出システム110を通して処理チャンバ50から排出される。排出システム1
10内には、スロットル弁120が備えられ、処理チャンバ50の使用済み処理
ガス流と処理ガス圧とを制御する。
【0014】 ガス活性化器125を使用して処理ガスが活性化され、処理チャンバ50内へ
の導入の前か後に、活性化処理ガス又はプラズマを形成する。適切なガス活性化
器125は、処理チャンバ50の中心を通って延び基板45の平面に垂直である
縦方向の垂直軸線と一致する中心軸線に関して円対称である1つ以上のインダク
タコイル130を含む。インダクタコイル130は、好ましくは1から10巻き
、より一般には2から6巻きのソレノイドコイルを備える。インダクタコイル1
30の配置と数は、天井60の近傍で電流とアンテナ巻回との目標とする積(d
/dt)(N・I)をもたらすように選択され、活性化処理ガスに密接に結合す
る強い誘導フラックス連結と、それ故に基板45に近接する処理区域70内のイ
オン密度の増加とをもたらす。インダクタコイル130がドームの天井60の近
傍に配置される時、処理チャンバ50の天井は、湾曲した形を与えるように互い
に接着された機械加工した二酸化ケイ素のスラブ、又は、ケイ素又は二酸化ケイ
素のタイルなど、高周波フィールドに対し透過的な絶縁材料を含む。イオン密度
は、インダクタコイル130近傍の局所的イオン化により影響され、多半径イン
ダクタコイルは、半球形コイルより基板45に近いので、インダクタコイル13
0は、処理チャンバ50の側壁55の周りを包み、基板45のすぐ上方にイオン
密度の増加をもたらす、「平坦化された」又は多半径ドーム形を形成することが
好ましい。
【0015】 インダクタコイル130に加えて、1つ以上のプロセス電極を使用し、処理チ
ャンバ50内の活性化処理ガスイオンを加速することができる。プロセス電極は
、通常、支持台75の内部又は下部に陰極145、及び、陽極140として機能
する天井60、及び/又は、側壁65のような処理チャンバ50の壁の電導部分
を含んでいる。好ましい実施形態では、陰極145は、支持台75上に配設され
た絶縁部材150内に埋め込まれ、基板45を支持台75に静電的に保持する静
電部材として機能する。電極電圧電源155は、高周波電位を陰極145に供給
し、それが陽極及び陰極140及び145を互いに異なる電位に保つ。代替的に
、ガス活性化器125はまた、マイクロ波、又は、処理チャンバ50内に注入さ
れる前か後に処理ガスを活性化することが可能なイオン化放射線供給装置(図示
せず)を含むこともできる。
【0016】 処理区域70内の活性化処理ガスはまた、磁気強化リアクタを使用して強化す
ることもでき、そこでは、永久磁石又は電磁コイルのような磁場発生器(図示せ
ず)を使用して磁場が処理区域70に印加され、活性化処理ガスの密度及び均一
性を増加する。好ましくは、磁場は、本明細書で参照される1989年6月27
日に附与された米国特許第4,842,683号に説明されているように、基板
45の平面と平行に回転する軸線を有する回転磁場を含む。処理チャンバ50内
の磁場は、活性化処理ガス中に形成されたイオン密度を増加するほど十分に強く
、CMOSゲートのような形態に対する荷電損傷を低減するほど十分に均一であ
るべきである。一般的には、基板45の表面で測定される磁場は、約500ガウ
スより小さく、通常は、約10から約100ガウスであり、最も通常的には、約
10から約30ガウスである。
【0017】 光学終端検出器165のような処理監視システムを使用して、処理チャンバ5
0内で行われる処理を監視し、特定層に対するエッチング処理の完了を判断する
ことができる。適切な光学終端検出器165は、光学発光、偏光解析法、及び、
干渉解析法に基づく検出器を含む。光学発光検出器は、活性化処理ガス中の化学
的活性遊離基により放出される光スペクトルのスペクトル線を検出して、基層の
エッチングの開始を示すであろう化学変化を検出する。偏光解析器は、基板45
の表面に対し鋭角で光ビームを投射し、基板45の透明膜の山の部分と谷の部分
とから反射される光ビーム間の位相のずれを検出する。干渉計もまた、基板45
の透明層の頂上及び底部表面から出る光ビームを反射するが、干渉計は、反射し
た光ビーム間の干渉の強め合いと弱め合いとの大きさを測定することにより、基
板45の薄膜の厚さを判断する。
【0018】 エッチング処理の間に、エッチング液残留物の薄膜が側壁55、天井60など
の処理チャンバ50の内部表面、及び、処理チャンバ50の内部構成部品の表面
に濃縮又は堆積する。エッチング液残留堆積物の組成は、蒸発種及び処理ガスの
組成、エッチングされる基板物質及び基板45のマスク又はレジスト層の組成に
左右される。例えば、ポリシリコン又は他のケイ素含有層がエッチングされる時
、ケイ素含有ガス状種が蒸発又は飛散して、エッチング液残留堆積物の主要な成
分を形成する。加えて、フォトレジスト又はマスク層もまた部分的に蒸発してガ
ス状の炭化水素又は酸素種を形成する。すなわち、エッチング液残留堆積物は、
一般に、フォトレジスト層から蒸発した炭化水素種、フッ素、塩素、酸素、又は
、窒素のような処理ガス種、及び、基板45でエッチングされる層から出るケイ
素種を含む、ポリマー副産物を含んでいる。これらのエッチング液残留堆積物は
、処理チャンバ50の作動の間にはげ落ちて基板45を汚染することがあり、ま
たは、それらが堆積する表面の特性を変え、それにより処理の均一性を低減する
可能性がある。これは、処理チャンバ50の正規の機能にとって必要な非常に反
応性が強い表面官能基を有する酸化アルミニウム、チッ化アルミニウム、ケイ素
、又は、酸化ケイ素などのセラミック物質を含む処理チャンバ表面及び構成要素
にとって特に問題である。
【0019】 (エッチング処理) ここで、異なる濃度又は組成のドーパントを有する1つ以上のケイ酸含有層を
含む基板45をエッチングする処理チャンバ50の作動を説明する。基板45は
、通常図1bに示すように、複数の層を備えたケイ素又はヒ化ガリウムウェーハ
のような半導体物質を含む。例えば、基板45は、異なる濃度又は組成の、ホウ
素又はリンのようなドーパントを有する第1及び第2領域180a及び180b
を備えるポリシリコン層170を含むことができる。代替的に、基板45は、第
1のドーピング領域180a、及び、第2の軽い又は非ドーピング領域180b
、又は、基板45を加熱してドーパント材料を領域180b全体に拡散するため
にドーパントが活性化されていない領域を有するポリシリコン層170を含むこ
とができる。加えて、基板45はまた、上に重なる感光レジスト層195のパタ
ーン取りをする間に反射を低減する、ポリシリコン層170を覆う反射防止層1
90を含むことができる。レジスト層195は、基板45に堆積され、従来の写
真平版技術を利用してパターン取りされる。レジスト層195のパターン取りさ
れた形態の間にある基板45の露出部分は、次いで本発明の処理によりエッチン
グされ、例えば、図1aに示すような2重ゲート構造28a及び28bのような
形態を形成する。
【0020】 本発明の処理を実行するために、基板が処理チャンバ50の支持台75に配置
され、処理チャンバが空にされる。処理ガスは、処理ガス分配器100及びスロ
ットル弁120、及び/又は、処理チャンバ50の圧力を維持するように調節さ
れた処理ガス流制御弁95を通して処理チャンバ50内に導入される。ガス活性
化器125に電力が印加され、基板45を処理する活性化処理ガスが形成される
。一般的には、インダクタコイル130に印加される高周波電流又は電源の電力
レベルが活性化処理ガスの解離種の量を決める。すなわち、供給電力が増加すれ
ばそれだけ多量の種が解離され、より等方的であるがより高速のエッチングがも
たらされる。対照的に、陰極145に印加される高周波電圧の電力レベル又はバ
イアス電力を増加することで、活性化処理ガスに対してより大きい照射運動エネ
ルギー成分をもたらすことによる非等方的エッチングの程度が増加する。異なる
ドーパント組成又は濃度を有する領域を含むポリシリコン層170をエッチング
するためには、電源電力対バイアス電力Ptの好ましい比は、約1:0.1から
約100:1である。より好ましくは、処理ガスは、約200から約2000ワ
ットのレベルの電源電力と約5から500ワットのレベルのバイアス電力とを印
加することにより活性化される。陰極145、及び/又は、インダクタコイルに
印加される高周波電圧の周波数は、一般的には、約50キロヘルツから約60メ
ガヘルツであり、通常は、約13.56メガヘルツである。
【0021】 本発明の処理法の重要な利点は、異なるドーパント組成又は濃度を有する1つ
以上のケイ素含有層を同一のエッチング速度でエッチングすることである。処理
ガスは、臭素含有ガス、塩素含有ガス、酸素ガス、及び、無機フッ素化ガスを含
むエッチングガスを含んでいる。このガスの組み合わせは、ドーパントの異なる
濃度又は組成の領域を有するケイ素含有層全体に亘り固有の予想外に一定なエッ
チング速度をもたらすことが発見された。その上、処理ガスの組成はまた、異な
るドーパント組成を有するポリシリコン層170の領域でエッチングされる形態
から得られる断面角の差も大いに低減する。更に、処理ガスはまた、処理チャン
バ50の内部表面からエッチング残留堆積物を除去する清浄ガスとして機能する
ことも発見された。
【0022】 処理ガスの臭素含有ガスは、ポリシリコン層170のようなケイ素含有層のエ
ッチング速度を早くし、同時にレジスト層195のエッチング速度を低減し、そ
れによりポリシリコン層170のレジスト層195に対するエッチング比を高く
する。臭素含有ガスの臭素は、ケイ素含有層のケイ素(Si)と反応して側壁不
活性化層を形成し、Cl2のようには激しくレジストと反応せず、それによりレ
ジスト層195の浸食を低減すると信じられる。臭素含有ガスは、HBrを含む
ことが可能で、HBrと同等な他の臭素含有ガス、例えば、Br2、CH3Br、
及び、それらの混合物を含むことも可能である。ポリシリコンをエッチングする
には、より非等方的エッチングに対してより多く側壁不活性化堆積物をもたらす
ので、HBrの方が好ましい。
【0023】 塩素含有ガスは、基板45でポリシリコン層170をエッチングするための主
要エッチング液として機能する。塩素含有ガスは、イオン化されて、基板45で
ポリシリコン層170をエッチングする塩素原子及び塩素含有種を形成する。例
えば、ポリシリコン層170のケイ素は、塩素含有イオン及びニュートラルによ
りエッチングされ、処理チャンバ50から排出される揮発性SiClx種を形成
することができる。塩素含有ガスは、Cl2を含むことができ、または、他の塩
素と同等な塩素含有ガス、例えば、HCl、BCl3、及び、それらの混合物を
含むこともできる。ポリシリコンをエッチングする場合、Cl2は、ケイ素エッ
チングに対して高速エッチングを示すので好ましい。
【0024】 ポリシリコンエッチングのエッチング選択比が二酸化ケイ素に対して増加する
ように酸素ガスが供給される。酸素ガスがイオン化して、ポリシリコン層170
のエッチング速度を早くし、同時に二酸化ケイ素層175のエッチング速度を低
減する、イオン、酸素原子の励起遊離基、及び、酸素含有種を形成すると信じら
れる。酸素ガスはまた、例えば、ヘリウム、キセノン、アルゴン、又は、クリプ
トンのような不活性ガスを含む酸素化合物を含むことができる。ポリシリコンの
エッチングの場合には、ヘリウムガスは、処理ガスが処理チャンバ50に残留す
る時間を低減する希釈ガスとして機能するので、He−O2ガスが好ましい。加
えて、He−O2ガスは、非常に小さい容積の酸素を処理ガスに添加するのに役
立つ。
【0025】 塩素含有ガスと臭素含有ガスとの体積流量比は、ケイ素含有層をレジスト層1
95及び二酸化ケイ素層175よりも速くエッチングするように選択される。好
ましくは、ケイ素含有層は、少なくとも約2000Å/分のエッチング速度でエ
ッチングされ、より好ましくは、ケイ素含有層は、約3000Å/分のエッチン
グ速度で、少なくとも約2:1のエッチング選択比でエッチングされる。塩素含
有ガスと臭素含有ガスとの体積流量比はまた、側壁表面が滑らかで基板45の平
面と少なくとも88°の角度をなす、好ましくは、約89°から90°の角度を
なす側壁を有する、非等方的にエッチングされた形態をもたらすように選択され
る。塩素含有ガスの体積流量速度が速すぎる場合、または、他のガスの合計体積
流量速度が低すぎると、エッチング速度が速くなりすぎる。不活性化堆積物が形
成される速度を超えるエッチング速度は、等方的エッチングを生じ、側壁の下を
切り取った輪郭を有する形態をもたらす。好ましくは、塩素含有ガスと臭素含有
ガスとの体積流量比は、約1:5から約5:1、より好ましくは、約1:1であ
る。
【0026】 酸素ガスの塩素含有ガス及び臭素含有ガスに対する体積流量比は、ケイ素含有
層170の実質的に非等方的なエッチングをもたらし、ポリシリコン層170の
エッチング時に、二酸化ケイ素に対して良好な選択性をもたらす。酸素ガス流は
、不活性化堆積物の累積がエッチング速度を低下させるのを防ぐのに十分なだけ
あるべきである。しかし、過度に高い酸素ガス流速は、エッチングされた形態上
に形成された不活性化堆積物を速く除去しすぎるために、基板45の、より等方
的なエッチングを引き起こす可能性がある。すなわち、酸素ガスの流速は、基板
45を急速にエッチングするために十分な塩素含有種を供給する、塩素含有ガス
の流速よりも低く維持され、他方、二酸化ケイ素に対する高いポリシリコンエッ
チング選択性と、より非等方的なエッチングとをもたらす。好ましくは、約3パ
ートのヘリウム:1パートの酸素で前もって混合されたHe−O2混合物が使用
される時、He−O2ガスの塩素含有ガスに対する体積流量比は、少なくとも約
1:20、より好ましくは、約1:3から約1:13である。
【0027】 無機フッ素化ガスと他のガス成分との比率は、本処理法における予想外の特徴
の多くを制御する。例えば、臭素含有ガス、塩素含有ガス、及び、酸素ガスの結
合流量に対する無機フッ素化ガスの体積流量比は、異なるドーパント組成及び濃
度を有するケイ素含有層のエッチング領域の速度を制御し、10%未満しか違わ
ないエッチング速度、より好ましくは、実質的に等しいエッチング速度をもたら
す。 無機フッ素化ガスの添加は、ポリシリコン層170のエッチング形態の断面角の
制御を大いに高める。無機フッ素化ガスの他の処理ガスの結合流量に対する体積
流量比もまた、エッチング液残留物の除去速度を制御し、湿式清浄作動のために
エッチング処理を止めることなく、2000から3000の基板45の処理から
生じた残留物を除去できる。臭素含有ガス、塩素含有ガス、及び、酸素ガスの結
合体積流量の無機フッ素化ガスの体積流量に対する適切な体積流量比は、約4:
1から約20:1であり、より好ましくは、約5:1から約10:1であること
が分かった。
【0028】 上記の処理ガス組成は、ケイ素含有層のエッチングのための処理ガス組成に関
して多くの利点をもたらすことが分かってきた。本発明の処理ガス組成は、異な
るドーパント濃度又は組成の領域を有するケイ素含有層のエッチングのための均
一で一貫したエッチング速度をもたらし、10%という低いエッチング速度の変
動は、一般に25%以上のエッチング速度をもたらす従来のガス組成とは対照的
である。加えて、この処理ガス組成は、ドーピング及び非ドーピングポリシリコ
ンの両方に対するエッチング形態に対し、88°から90°の断面角を有するエ
ッチング形態をもたらす。断面角、すなわちエッチング形態の側壁と基板45平
面とのなす角は、理想的には、まっすぐで実質的に垂直な側壁を有する形態をも
たらす約90°度である。まっすぐな側壁を有する形態は、互いに接近して形成
することができ、高い回路密度と速い作動速度とにつながる。しかし、従来のエ
ッチング処理では、ドーピングと非ドーピング領域との間、または、n−ドーピ
ングとp−ドーピングとの間で3°より大きい断面角の差がしばしば生じる。更
に、処理チャンバ50の内部表面上へのエッチング残留物堆積は、従来のエッチ
ング処理ガス組成での30Å/分以上の堆積速度から40Å/分以上の除去速度
へと下降する。
【0029】 本処理法の好ましい実施形態では、いろいろな濃度又は組成のドーパントを有
するポリシリコン層170を通して完全にエッチングし、下層の薄い二酸化ケイ
素層175を通してエッチングしないために多重段階が使用される。加えて、処
理チャンバ表面のエッチング残留堆積物を除去するために、無機フッ素化ガスが
少なくとも1つの段階で導入される。第1又は主エッチング段階で、レジスト層
195の開口部を通して露出したポリシリコン層170の厚みの大部分がエッチ
ングされる。処理ガスは、Cl2、HBr、及び、He−O2、及び、CF4又は
NF3のような無機フッ素化清浄ガスを、ポリシリコン層170をレジスト層1
95に対して高いエッチング速度かつ高い選択比でエッチングするのに適する体
積流量比で有するエッチングガスを含む。無機フッ素化ガス対全体積流量の適切
な体積流量比は、約0.15:1である。インダクタコイル130に印加される
電源電力は、約500ワットであり、陰極145に印加されるバイアス電力は、
約80ワットである。処理チャンバ圧力は、約4ミリトールであり、基板は、ヘ
リウムを溝80に約8トールの圧力で供給することにより、約50°Cの温度に
維持される。好ましくは、主エッチング段階は、約1800Å/分から約250
0Å/分のポリシリコンエッチング速度をもたらし、二酸化ケイ素に対して約3
:1から約7:1のエッチング選択比を与える。
【0030】 主エッチング段階は、ポリシリコン層170を通して完全にエッチングされる
直前に、光学終端検出器165により停止される。適切な終端検出法は、処理チ
ャンバ50内の活性化処理ガスの発光スペクトルを分析して、エッチングされる
層の化学組成変化に一致する化学組成変化を測定する光学発光分析であり、本明
細書において参照されている米国特許第4,328,068号の教示する通りで
ある。 主エッチング段階が停止すると、ポンプ排出段階が実行され、処理チャンバ5
0から主エッチング段階の処理ガスが排気され、特に、実質的に全ての無機フッ
素化ガスが除去される。無機フッ素化ガスは、化学的に攻撃的なガスであり、薄
い二酸化ケイ素層175を通して急速にエッチングするので、二酸化ケイ素層1
75を保存するためにその除去が必要とされる。ポンプ排出段階で、処理チャン
バ50が十分低圧となるまで十分な時間をかけて排気され、確実に実質的に全て
の無機フッ素化ガスが処理区域70から除去される。処理チャンバ50は、約0
.5ミリトールから約1ミリトールまで減圧されることが好ましい。更に好まし
くは、処理チャンバ50は、約0.5ミリトールよりも低い圧力で約5から約1
5秒の間保たれ、実質的に全ての無機フッ素化ガスが処理区域70から除去され
ることを確実にする。随意的に、窒素のような不活性浄化ガスの低流量がポンプ
排出段階の間に導入されてもよく、処理チャンバ50内のいかなる残留無機フッ
素化ガスも希釈し、真空ポンプ115からのオイルの逆流を防ぐ。適切な流量は
、約50から約100立方センチメートル毎分(sccm)である。
【0031】 ポンプ排出段階の後、第2又はオーバエッチング段階が実行され、基板上に残
留しているポリシリコン層部分がエッチングされる。オーバエッチング段階では
、無機フッ素化ガスを実質的に含まない第2処理ガスがガス活性化器125によ
り活性化される。例えば、オーバエッチング段階は、160sccmのHBr、
及び、10sccmのHe−O2を含む処理ガス、50ミリトールの処理チャン
バ圧力、1000ワットレベルの電源電力、及び、100ワットレベルの高周波
バイアス電力を含むことができる。より好ましくは、オーバエッチング段階の処
理ガス組成は、約1500Å/分から約3000Å/分のポリシリコンエッチン
グ速度、及び、約50:1から約150:1の二酸化ケイ素に対するエッチング
選択比をもたらすように選択される。
【0032】 異なるドーパント組成及び濃度を有するポリシリコン層170を通して均一に
エッチングすることに加えて、本発明のエッチング処理は、エッチング残留堆積
物の厚さや化学量論に関係なく、エッチング処理の間に処理チャンバ50の表面
に堆積したエッチング残留物を清浄除去することが分かっている。従来技術のエ
ッチング処理は、200から300の基板45の処理の後、エッチング残留物が
処理チャンバ表面に堆積する量のために、僅か200から300の基板45の後
で処理チャンバ50の清浄や調整を必要とした。エッチング残留物の形成は、剥
げ落ちと基板45の汚染とをもたらした。また、従来技術の清浄処理、特にオペ
レータが人手で行う清浄処理は、処理チャンバ表面に形成されたエッチング残留
堆積物を均一に清浄除去することにしばしば失敗する。更に、活性化された清浄
ガスを使い、処理チャンバの壁や構成部品を湿式清浄するために処理を止めるこ
となく、基板45のエッチングの間に現場で処理チャンバ50を効率的に清浄し
、それによりエッチングの生産量を増加し、更に、基板45当たりのコストを引
き下げることができる。対照的に、本発明の処理法は、処理チャンバの実質的に
全体に亘り、形成されたエッチング残留堆積物を除去し、基板汚染を低減し生産
量を増加する。清浄ガスを含む処理ガスはまた、処理チャンバ50内の活性化処
理ガスのエネルギーレベルが低いので、従来の現場でのプラズマ清浄段階に比較
して、処理チャンバ50に対し遥かに小さな浸食被害しか生じない。蓄積された
エッチング残留堆積物を除去するために必要とされる高電圧プラズマがしばしば
処理チャンバ表面及び構成部品の幅広い浸食をもたらした従来技術の処理におい
ては、これは達成困難であった。処理チャンバの構成部品の取り替えの必要を低
減することにより、処理チャンバ50の運転コスト及び基板45のコストは大い
に減少した。本エッチング処理により、処理チャンバ50の寿命は、少なくとも
2倍に増加することが期待される。
【0033】 (実施例) 以下の例は、半導体基板45のポリシリコン層170のエッチングへの本発明
の使用と、処理チャンバ50の内部表面からのエッチング残留堆積物の清浄除去
とを解説している。しかし、当業者にとって明白であるように、装置40及び方
法は、他の適用例に使用できるものであり、本発明の範囲は、ここに準備される
例示的実施例に限定されるべきではない。 これらの実施例では本発明の処理法が使用され、基板45上のポリシリコン層
170がエッチングされて二酸化ケイ素層175の薄い下層が露出された。ポリ
シリコン層170は、非ドーピング領域又はドーパント非活性化領域を含む、異
なる濃度又は組成のドーパントを有する第1及び第2領域180a及び180b
を含む。基板45は、厚さ45Åの二酸化ケイ素下層175の上にある厚さ25
00Åのポリシリコン層170、及び、上に重なる厚さ700Åのビー・エイ・
アール・シー(BARC)反射防止層190を含む、直径200ミリメートルの
シリコンウェーハであった。厚さ8000Åの深紫外線(DUV)レジスト層を
含むレジスト層195は、反射防止層190上に堆積され、従来技術を使用して
パターン取りされた。本発明の処理法により基板45がエッチングされ、エッチ
ング処理の後で測定を行い、除去されたポリシリコン層170の厚さ、残る二酸
化ケイ素層175の厚さ、エッチング速度、エッチングの均一性、並びに、上に
重なるレジスト層195及び下にある二酸化ケイ素層175に対するポリシリコ
ン層170のエッチング選択性が決められた。ドーピング及び非ドーピングポリ
シリコンのエッチング速度の均一性は、(最高エッチング速度−最低エッチング
速度)/(2x平均エッチング速度)x100%から決められた。エッチング速
度は、ポリシリコン層170を部分的にエッチングし、残りのポリシリコンをプ
ロメトリクス(Prometrix)UV1050のような干渉計で測定するこ
とにより行われた。
【0034】 更に、処理ガス組成は、処理チャンバ50の内部表面の過剰なエッチング残留
物の堆積を清浄又は防止するように作り変えられた。水晶微量天秤(QCM)2
00を使用して、エッチング処理の間に処理チャンバ表面に堆積していくエッチ
ング残留堆積物及び他の処理ガス副産物の量が測定された。水晶微量天秤200
は、プレート上にエッチング残留堆積物の薄膜が堆積した時、静電容量が変化す
る圧電プレートを含む。微量天秤200は、側壁55のような処理チャンバ50
の内部表面に取り付けられており、そのリード線が処理チャンバ50の外部のコ
ンピュータに接続された。 これらの例では、ポリシリコン層170をエッチングする処理ガス組成は、主
ポリシリコンエッチング段階で、CF4などの増量する無機フッ素化ガスの添加
により変更された。BARC層190のエッチングの後、2つの後続ポリシリコ
ンエッチング段階が用いられ、ポリシリコン層170がエッチングされた。第1
又は主エッチング段階は、CF4のような無機フッ素化清浄ガスを含む化学的反
応性のより強い処理ガスを使用し、第2段階においては、処理ガスは、無機フッ
素化ガスを実質的に包含せず、化学反応性のより弱いエッチング処理をもたらし
た。
【0035】 主エッチング段階での処理条件の定数値は、処理ガスの60sccmのCl2
、100sccmのHBr、及び、16sccmのHe−O2であった。CF4
スの体積%は、全ガス流量の0%、13.5%、19%、及び、26.3%の間
で変化した。処理チャンバ50内の圧力は、4ミリトールに維持され、インダク
タコイル130に印加される高周波電源電力は、475ワット、また陰極145
に印加される高周波バイアス電力は、80ワットであった。処理の間、基板45
は、約8トールに維持されて基板45の裏側を通るヘリウム流を使用して温度5
0°Cにまで冷却された。 処理ガスの組成は、基板表面全体に亘ってポリシリコン層170をエッチング
するための均一かつ一貫したエッチング速度をもたらした。例えば、図3は、処
理ガス組成中における無機フッ素化ガスの増加する体積流量比濃度に対する(1
σの標準偏差での)ポリシリコンエッチング速度の均一性を示している。CF4
の体積流量比が0から19%に増加するに連れ、ポリシリコン層170をエッチ
ングする均一性は、1.2から0.4へと徐々に減少し、その後、CF4濃度が
24体積%の時、ポリシリコンエッチング速度の均一性は、約0.6へと増加し
た。このエッチング均一性係数は、従来技術により供給されるものより1から2
倍ほど良好である。
【0036】 図4は、無機フッ素化ガスの体積流量比の増加に対するドーピングポリシリコ
ン及び非ドーピングポリシリコンのエッチング速度、及び、エッチング速度の比
を示している。ドーピングポリシリコンのエッチング速度は、CF4の0体積%
での約2600Å/分からCF4の13.5体積%での約2300Å/分へと徐
々に低減し、その後はCF4濃度の増加に対して一定にとどまっている。驚くべ
き事に、ドーピングポリシリコンのエッチング速度の変化からの予想とは対照的
に、非ドーピングポリシリコンのエッチング速度は、最初はCF4の0体積%で
の約2100Å/分からCF4の13.5体積%での約1900Å/分へと低減
しているが、その後はCF4濃度の最大24体積%までの上昇に対して、210
0Å/分へと徐々に再び増加しているのである。
【0037】 従来技術のエッチング処理は、基板45に亘るドーピング及び非ドーンピグポ
リシリコンのエッチング速度の大きな変動を生じる。対照的に、本発明による処
理ガス組成は、非ドーンピグポリシリコンのエッチング速度が増加するとドーピ
ングポリシリコンに対するエッチング速度が減少するので、基板45に亘るドー
ピング及び非ドーンピグポリシリコン双方のエッチングにとって、予想外に均一
で高速のエッチング速度をもたらした。図4に示すように、この効果は、CF4
の体積%が0から24体積%に増加するにつれ、ドーンピグポリシリコンのエッ
チング速度の非ドーンピグポリシリコンのエッチング速度に対する比(または均
一性)を1.25から約1.1へと引き下げる。ドーピング及び非ドーンピグポ
リシリコン層170に対する最低1.1のエッチング速度の均一性は、ここに示
したような一般に1.25より大きい従来のエッチング速度の変動と対照的であ
る。
【0038】 処理ガス組成もまた、ポリシリコン層170のエッチングに対し、下にある二
酸化ケイ素層175に対して高いエッチング選択比をもたらすように選択された
。下にある二酸化ケイ素層175に対するポリシリコン層170の高いエッチン
グ選択比は、上に重なるポリシリコン層170を通してエッチングした後、下に
ある二酸化ケイ素層175内にオーバエッチングする可能性を低減する。高いエ
ッチング選択比は、下にある電気絶縁二酸化ケイ素層175内へオーバエッチン
グし、貫通していくことを防ぐために必要である。図5は、全ガスに対する無機
フッ素化ガスの体積流量比の増加に対する、下にある二酸化ケイ素の損失及び下
にある二酸化ケイ素に対するポリシリコンエッチングのエッチング選択比を示す
グラフである。CF4ガスのフッ素成分が二酸化ケイ素をエッチングして揮発性
SiFxガスを形成するので、図5に示すように、CF4体積流量比の増大に伴
い二酸化ケイ素層175の厚みの損失が5から約32Åに増加する結果となった
。これによって、二酸化ケイ素に対するポリシリコンエッチングのエッチング選
択比が、CF4を含む無機フッ素化ガスを0ないし24体積%ほど添加すること
で、各々、約14から約4へと減少する。より最近の集積回路では、非常に薄い
下にある二酸化ケイ素層175は、上に重なるポリシリコン層170を通してエ
ッチングされるのと同時に、急速にエッチングされて消失してしまう可能性があ
るので、エッチング選択比の損失は好ましくない。
【0039】 一般的にはまた、ポリシリコン層170を通してエッチングする前にレジスト
層195を通してエッチングすることを避けるためには、レジスト層195に対
するポリシリコン層170のエッチングの高いエッチング選択比を有することが
好ましい。図6は、無機フッ素化ガスの体積流量比が増加する場合の、上に重な
るレジスト層195に対するポリシリコン層170のエッチングのエッチング選
択比を示す。レジスト層195に対するポリシリコン層170のエッチングのエ
ッチング選択比は、CF4などの無機フッ素化ガスを0ないし24体積%ほど添
加することで、一般的には、各々、約1.4から約1.6へと少量増加する。す
なわち、レジストエッチングの選択比は、CF4ガスの添加によって不利な影響
を受けない。
【0040】 図7は、無機フッ素化ガスの体積流量比が増加する場合の、処理チャンバ50
の内部表面の(水晶微量天秤200によって測定された)エッチング残留物堆積
の減少を示すグラフである。このグラフは、処理ガスに無機フッ素化ガスを添加
することによってもたらされる内部処理チャンバ表面のエッチング残留堆積物の
、相当かつ予想外の清浄効果を示している。CF4ガス流量の0体積%から24
体積%への増加によって、処理チャンバ50の内部表面のエッチング残留堆積物
の正規化された堆積速度が30Å/分を超える値から−80Å/分を下回る値へ
と減少する。負の値は、エッチング残留堆積物が微量天秤200に堆積する代わ
りに、本処理で微量天秤から実際に除去されていることを示す。
【0041】 表Iは、正規化されたエッチング残留物堆積速度、及び、処理ガス組成中のC
4ガス含有量の増加に対するポリシリコン対二酸化ケイ素のエッチング選択比
の数値を列挙している。各グラフが示すように、CF4ガス含有量が高ければ高
いほど正規化されたエッチング残留物堆積速度は良好、つまり低く、非ドーピン
グポリシリコンに対してドーピングポリシリコンをエッチングするエッチング均
一性は低い。実際、全ガス流量の24.3%のCF4体積%流量において、正規
化されたエッチング残留物堆積速度は、−80となってエッチング残留物が実際
に除去されていることを示しており、ドーピング/非ドーピングポリシリコンエ
ッチング均一性は、最低1.1まで低下する。
【0042】
【表1】 表I
【0043】 無機フッ素化ガス成分と処理ガス組成の他のガス成分との相互作用もまた予想
外であった。例えば、図8は、CF4及びHe−O2の体積パーセントの増加に対
する処理チャンバ50の内部表面のエッチング残留物堆積速度の変化を示してい
る。一般的に、CF4ガス成分が13.5体積%から24体積%に増加すると、
処理チャンバ50の内部表面のエッチング残留物堆積速度は、−39Å/分から
−80Å/分へと減少する。He−O2ガス成分が16から25sccmへと増
加すると、処理チャンバ50の内部表面のエッチング残留物堆積速度が減少する
。しかし、He−O2ガス成分が25から40sccmへとさらに増加すると、
処理チャンバ50の内部表面のエッチング残留物堆積速度が増加し、これは好ま
しくない。He−O2ガス含有量の増加に伴う処理チャンバ50の内部表面のエ
ッチング残留堆積物の増加は、He−O2ガス中のO2がケイ素含有材料と反応し
て、処理チャンバの壁にSiO2の堆積物を形成するから生じると信じられる。
【0044】 表IIは、処理ガス組成中のCF4及びHe−O2ガス含有量の増加に対する、正
規化されたエッチング残留物堆積速度、及び、ポリシリコン対二酸化ケイ素のエ
ッチング選択比の数値を列挙している。これらの結果の中で、25sccmのH
e−O2ガス含有量と約25sccmのCF4ガス含有量とが好ましいが、その理
由は、これらの流量速度がポリシリコン対二酸化ケイ素のエッチング選択比と、
内部処理チャンバ表面のエッチング残留物の堆積速度との間のバランスをもたら
すからである。酸素含有ガスの無機フッ素化ガスに対する体積流量比は、活性化
処理ガスが処理チャンバの内部表面に形成された実質的に全てのエッチング残留
堆積物を除去し、ポリシリコンの二酸化ケイ素に対する高い選択性を有するよう
に選択される。好ましくは、無機フッ素化ガスの体積流量に対する酸素ガスの体
積流量比は、約1:1から約1:10であり、より好ましくは、約1:1.5で
ある。
【0045】
【表2】 表II
【0046】 表IIIを参照すると、この実施例は、少なくとも3つの別々の段階を含む多重
段階を使用した基板45のポリシリコン層170をエッチングするための処理を
示しており、反射防止BARC層190がエッチングされる初期段階、主ポリシ
リコンエッチング段階、及び、ポリシリコンオーバエッチング段階を含んでいる
。第1段階では、基板45のBARC層は、40sccmのCl2及び20sc
cmのO2を含む処理ガスを処理チャンバ50に導入し、また、300ワットの
高周波電源電力をインダクタコイルに、40ワットの高周波バイアス電力を陰極
145に印加して活性化処理ガスを形成することにより、エッチングされた。ま
た、2ミリトールの圧力が処理チャンバ50内で維持された。処理の間、基板4
5は、約8トールの圧力で基板45の裏側を通るヘリウム流を使用して温度50
°Cにまで冷却された。光学発光終端検出器165を使用して、BARC層19
0のエッチングの完了が測定された。
【0047】
【表3】 表III
【0048】 BARC層190をエッチングした後、2つのポリシリコンエッチング段階、
すなわち、主エッチング段階及びオーバエッチング段階を用いて、ポリシリコン
層170がエッチングされた。第1又は主エッチング段階では、CF4のような
より化学的反応性の強い処理ガスを使用し、第2段階では、実質的に無機フッ素
化ガスを含まない処理ガスが使用され、化学的反応性のより弱いエッチング処理
をもたらした。主エッチング段階では、処理ガスは、60sccmのCl2、1
00sccmのHBr、16sccmのHe−O2、及び、25sccmのCF4 を含み、これが臭素含有ガス、塩素含有ガス、及び、酸素含有ガスの結合流量の
無機フッ素化ガスの流量に対する体積流量比、約7:1をもたらす。主エッチン
グの後、光学発光終端検出器165を検出に使用して、基板45上の下層の二酸
化ケイ素層175を通してエッチングすることなくエッチング処理を停止する。
【0049】 主エッチング段階とオーバエッチング段階との間で、ポンプ排出段階が実行さ
れ、処理チャンバ50のポンプ排出によって処理チャンバ50から処理ガスが排
出された。この段階で、スロットル弁120が約10秒間全開に保たれ、圧力0
.5ミリトール未満が達成された。ポンプ排出段階が実行され、処理チャンバ5
0の処理ゾーン70の実質的に全ての残留無機フッ素化ガスが排出されて除去さ
れた。ポンプ排出段階は、二酸化ケイ素層175が露出される前に全ての攻撃的
な無機フッ素化ガスを除去することにより、下にある二酸化ケイ素層175に対
するポリシリコン層170のエッチングのエッチング選択性を著しく高めた。 処理チャンバ50がポンプ排出された後、ポリシリコン層170の残りの部分
を通してエッチングするオーバエッチング段階が実行された。この段階で、無機
フッ素化ガス含有量は完全に除去され、より緩やかでより制御しやすいエッチン
グ速度が得られた。この段階において、処理ガスは、100sccmのHBr及
び16sccmのHe−O2を含み、処理チャンバ圧力は、50ミリトール、電
源電力レベルは、750ワット、高周波バイアス電力レベルは、100ワットで
あった。
【0050】 本実施例では、残る二酸化ケイ素ゲート層175は、ドーピング及び非ドーピ
ングポリシリコン領域の両方の下に、約3.3ナノメートル(33Å)の厚みを
有するていた。活性化処理ガスの活発なイオンに曝されると、ゲート酸化物層1
75の下にある活発な供給及び消耗領域が荷電損傷と格子構造損傷とを被る恐れ
があるので、ゲート酸化物層のエッチング処理を停止する必要がある。 更に、本実施例は、ドーピング及び非ドーピングポリシリコンの両方に対して
も、また基板45の高密度及び隔離領域の両方に対しても、断面角87°から8
8°を有するエッチング形態をもたらした。断面角、すなわちエッチング形態の
側壁と基板45平面とのなす角は、理想的には、まっすぐで実質的に垂直な側壁
を有する形態をもたらす約90°度である。まっすぐな側壁を有する形態は、互
いに接近して形成することができ、より高い回路密度と、より早い作動速度とを
もたらす。
【0051】 基板45上のエッチング形態の限界寸法の変動もまた測定された。限界寸法は
、前もって定められ、集積回路設計においてエッチング形態の目標とする電気特
性を準備するために必要なエッチング形態の目標とする寸法である。限界寸法は
、一般的には、レジスト形態195の幅Wrとエッチング形態の幅Weとの間の比
又は差として測定される。エッチング形態の幅がレジスト形態の幅に近いほど、
エッチング形態の電気特性は、予測性があり信頼できる。最新の集積回路では、
相互接続線の線幅と接触プラグの直径とは、より高密度の回路が収容できるよう
に、0.25ミクロンよりますます小さくなりつつある。これらの形態の電気抵
抗は、エッチング形態の断面積に比例するので、形態間で変動のない一貫して均
一な寸法を維持することが重要である。先細り断面や、形態間隔の関数で変動す
る断面形状は、もはやこれらの集積回路には受け入れ難い。本実施例では、基板
45の高密に詰め込まれた形態部分は(これは間隔の狭いエッチング形態を有す
るている)、約−0.005ミクロンの限界寸法損失を示し、隔離領域では、約
−0.003ミクロンの限界寸法損失を示した。
【0052】 更に、従来技術エッチング処理は、多数の基板45を処理した後、処理チャン
バ内部表面のエッチング残留堆積物の過剰な堆積から生じた汚染レベルを低減す
るために、処理チャンバ50の清浄及び調整を必要とした。対照的に、本エッチ
ング及び同時清浄処理は、別の清浄処理段階を必要とせず、3000枚の基板を
連続エッチングする間に、一貫して高度で均一な3000Å/分のポリシリコン
エッチング速度、及び、約1.7%のエッチング速度変動をもたらす。本処理法
は、厚さや化学量論に関係なく、エッチング残留物を均一に除去することが分か
っているが、他方、従来技術の清浄処理は、しばしば処理チャンバ表面に形成さ
れたエッチング残留堆積物を均一に除去することに失敗している。 この実施例は、NF3からなる無機フッ素化ガスを含む処理ガスを使用したポ
リシリコン層170をエッチングするための処理を示している。表IVに列挙され
ているように、反射防止BARC層190がエッチングされる初期段階、主ポリ
シリコンエッチング段階、及び、ポリシリコンオーバエッチング段階を含む、3
つの別々の段階が使用された。
【0053】 先の実施例におけるように、主エッチング段階とオーバエッチング段階との間
で、ポンプ排出段階が実行され、処理チャンバ50のポンプ排出によって処理チ
ャンバ50から処理ガスが排出された。この段階で、スロットル弁120が約1
0秒間全開に保たれ、圧力0.5ミリトール未満が達成された。ポンプ排出段階
が実行され、処理チャンバ50の実質的に全ての含有残留無機フッ素化ガスが排
出されて除去された。ポンプ排出段階は、下層の二酸化ケイ素層175に対する
ポリシリコン層170のエッチング選択性を著しく高めた。処理チャンバ50が
ポンプ排出された後でのみ、ポリシリコン層170の残りの部分を通してエッチ
ングされるオーバエッチング段階が実行された。
【0054】
【表4】 表IV
【0055】 本実施例では、残存する二酸化ケイ素ゲート酸化物層175は、ドーピング及
び非ドーピングポリシリコン領域の両方の下で約3.4ナノメートル(34Å)
厚みを持ち、断面角87°から88°のエッチング形態をもたらした。加えて、
処理チャンバの壁のエッチング残留物の正規化された堆積速度は、微量天秤で測
った時、処理ガス組成中のCF4ガスの同等流量について−9Å/分であったの
に比較して、約−64Å/分であった。すなわち、NF3ガス組成は、CF4ガス
組成よりも優れた清浄結果をもたらした。加えて、NF3に基づくガス組成は、
二酸化ケイ素に対するポリシリコンのエッチングについて、CF4ガスの5:1
に比べて8:1のエッチング選択比をもたらし、より優れたエッチング選択性を
与えた。
【0056】 本発明は、ある特定の最良のバージョンを参照して説明されてきたが、他のバ
ージョンも可能である。例えば、本発明の処理及び清浄過程は、当業者には明ら
かなように、他の適用例の処理チャンバにも使用できる。例えば、本処理は、当
業者には明らかなように、飛散で汚れた処理チャンバ、イオン打ち込み処理チャ
ンバ、及び、堆積処理チャンバ、又は、他の清浄処理との組み合わせにおいて適
用することができる。従って、別記請求範囲の精神及び範囲は、本明細書に包含
される最良のバージョンの記述に限定されるべきではない。
【図面の簡単な説明】
【図1a】 (従来技術)2重ゲート構造を有する対称性CMOSトランジスタを含む基板
の概略断面図である。
【図1b】 (従来技術)異なる組成のドーパント、二酸化ケイ素の基層、及び、上に重な
る反射防止及びレジスト層を有する第1及び第2の領域を備えたポリシリコン層
を含む基板の概略断面図である。
【図2】 本発明の処理を実施するのに適した装置の概略側面断面図である。
【図3】 処理ガス中の無機フッ素化ガスの体積流量比の増加に対するポリシリコンエッ
チング速度の均一性を示すグラフである。
【図4】 処理ガス中の無機フッ素化ガスの体積流量比の増加に対するドーピング及び非
ドーピングポリシリコンエッチング速度、及び、速度の均一性を示すグラフであ
る。
【図5】 処理ガス中の無機フッ素化ガスの体積流量比の増加に対する、基層二酸化ケイ
素の損失、及び、基層二酸化ケイ素に対するポリシリコンエッチングのエッチン
グ選択比を示すグラフである。
【図6】 処理ガス中の無機フッ素化ガスの体積流量比の増加に対する、上に重なるレジ
スト層に対するポリシリコン層のエッチングのエッチング選択比を示すグラフで
ある。
【図7】 処理ガス中の無機フッ素化ガスの体積流量比の増加に対する、(水晶微量天秤
で測定した)処理チャンバの内部表面に形成されたエッチング液残留物の堆積の
減少を示すグラフである。
【図8】 処理ガス中のCF4及びHe−O2の体積流量比の増加に対する、処理チャンバ
の内部表面へのエッチング液残留物の堆積速度の変化を示すグラフである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 シン ジェフリー アメリカ合衆国 カリフォルニア州 94404 フォスター シティ セント ク ロイ レーン 605 (72)発明者 ユーエン スティーブン アメリカ合衆国 カリフォルニア州 95050 サンタ クララ ルス カブラル ウェイ 2435 Fターム(参考) 5F004 AA02 AA15 BA20 BB13 CA06 DA00 DA01 DA04 DA17 DA18 DA22 DA26 DA29 DB02 【要約の続き】

Claims (25)

    【特許請求の範囲】
  1. 【請求項1】 基板上のポリシリコン層をエッチングする方法であって、次
    の工程: a)処理チャンバに、ポリシリコン層を有する前記基板を置く工程;及び、 b)臭素含有ガス、塩素含有ガス、無機フッ素化ガス、及び酸素ガスを含む活
    性化された処理ガスに前記基板を曝す工程、 を含む、前記方法。
  2. 【請求項2】 前記臭素含有ガス、塩素含有ガス、無機フッ素化ガス、及び
    酸素ガスの体積流量比は、前記活性化処理ガスが前記ポリシリコン層の異なるド
    ーパント濃度を有する領域を、実質的に同じエッチング速度でエッチングするよ
    うに選択される、請求項1に記載の方法。
  3. 【請求項3】 前記臭素含有ガス、塩素含有ガス、無機フッ素化ガス、及び
    酸素ガスの体積流量比は、前記活性化処理ガスが前記ポリシリコン層をエッチン
    グ中に、前記処理チャンバの内部表面に形成されたエッチング残留堆積物を清浄
    するように選択される、請求項1に記載の方法。
  4. 【請求項4】 前記臭素含有ガス、塩素含有ガス、及び酸素ガスの結合体積
    流量対前記無機フッ素化ガスの体積流量の体積流量比は、約4:1〜約20:1
    である、請求項3に記載の方法。
  5. 【請求項5】 前記臭素含有ガスは、HBr、Br2、及びCH3Brの内の
    1つ以上を含む、請求項1に記載の方法。
  6. 【請求項6】 前記塩素含有ガスは、Cl2及びHClの内の1つ以上を含
    む、請求項1に記載の方法。
  7. 【請求項7】 前記無機フッ素化ガスは、NF3、CF4、及びSF6の内の
    1つ以上を含む、請求項1に記載の方法。
  8. 【請求項8】 前記酸素ガスは、O2及びHe−O2の内の1つ以上を含む、
    請求項1に記載の方法。
  9. 【請求項9】 前記活性化処理ガスは、HBr、Cl2、He−O2を含み、
    NF3、CF4、及びSF6の内の1つ以上を含む、請求項1に記載の方法。
  10. 【請求項10】 前記活性化処理ガスは、実質的に、HBr、Cl2、He
    −O2、及びCF4からなる、請求項1に記載の方法。
  11. 【請求項11】 基板上のポリシリコン層をエッチングし、同時に処理チャ
    ンバの内部表面を清浄する方法であって、次の工程: a)前記処理チャンバに前記基板を置く工程;及び、 b)前記基板及び前記処理チャンバの内部表面を、HBr、Br2、及びCH3 Brの内の1つ以上を含む臭素含有ガス;Cl2及びHClの内の1つ以上を含
    む塩素含有ガス;NF3、CF4、及びSF6の内の1つ以上を含む無機フッ素化
    ガス;及びO2及びHe−O2の内の1つ以上を含む酸素ガスを含む、活性化処理
    ガスに曝す工程、 を含む、前記方法。
  12. 【請求項12】 前記臭素含有ガス、塩素含有ガス、無機フッ素化ガス、及
    び酸素ガスの体積流量比は、前記活性化処理ガスが前記ポリシリコン層の異なる
    ドーパント濃度を有する領域を、実質的に同じエッチング速度でエッチングする
    ように選択される、請求項11に記載の方法。
  13. 【請求項13】 前記臭素含有ガス、塩素含有ガス、無機フッ素化ガス、及
    び酸素ガスの体積流量比は、前記活性化処理ガスが前記ポリシリコン層をエッチ
    ング中に、前記処理チャンバの内部表面に形成されたエッチング残留堆積物を清
    浄するように選択される、請求項1に記載の方法。
  14. 【請求項14】 前記臭素含有ガス、塩素含有ガス、及び、酸素ガスの結合
    体積流量対前記無機フッ素化ガスの前記体積流量の体積流量比は、約4:1〜約
    20:1である、請求項13に記載の方法。
  15. 【請求項15】 前記酸素含有ガス及び無機フッ素化ガスの体積流量比は、
    前記活性化処理ガスが前記処理チャンバの内部表面に形成された実質的に全ての
    エッチング残留堆積物を清浄し、二酸化ケイ素に対してポリシリコンが高い選択
    性を有するように選択される、請求項11に記載の方法。
  16. 【請求項16】 前記酸素ガスの体積流量対前記無機フッ素化ガスの体積流
    量の体積流量比は、約1:1〜約1:10である、請求項15に記載の方法。
  17. 【請求項17】 前記活性化処理ガスは、実質的に、HBr、Cl2、He
    −O2、及びCF4からなる、請求項11に記載の方法。
  18. 【請求項18】 処理チャンバの基板上のポリシリコン層をエッチングする
    一方で、同時に前記処理チャンバの内部表面を清浄する方法であって、次の工程
    : a)前記処理チャンバに、第1のドーパント濃度を有する第1領域と第2のド
    ーパント濃度を有する第2領域とを有するポリシリコン層を含む前記基板を置く
    工程;及び、 b)前記基板上のポリシリコン層及び前記処理チャンバの内部表面を、HBr
    、Br2、及びCH3Brからなる群から選択される臭素含有ガス;Cl2及びH
    Clからなる群から選択される塩素含有ガス;NF3、CF4、及びSF6からな
    る群から選択される無機フッ素化ガス;及びO2及びHe−O2からなる群から選
    択される酸素ガスを含む、活性化処理ガスに曝す工程、 を含み、 前記臭素含有ガス、塩素含有ガス、及び酸素ガスの結合体積流量対無機フッ素
    化ガスの体積流量の体積流量比は、前記第1及び第2の領域を約10%未満の差
    のエッチング速度でエッチングするように選択される、前記方法。
  19. 【請求項19】 前記臭素含有ガス、塩素含有ガス、無機フッ素化ガス、及
    び酸素ガスの体積流量比は、前記活性化処理ガスが前記ポリシリコン層をエッチ
    ング中に、前記処理チャンバの内部表面に形成されたエッチング残留堆積物を清
    浄するように選択される、請求項18に記載の方法。
  20. 【請求項20】 前記活性化処理ガスは、実質的に、HBr、Cl2、He
    −O2、及びCF4からなる、請求項18に記載の方法。
  21. 【請求項21】 処理チャンバの基板上のケイ素含有層をエッチングする一
    方で、同時に前記処理チャンバの内部表面を清浄する方法であって、次の工程: a)前記処理チャンバに、前記ケイ素含有層を有する前記基板を置く工程; b)第1のエッチング段階で、前記基板上のケイ素含有層をエッチングするエ
    ッチングガス、及び前記ケイ素含有層のエッチング中に前記処理チャンバの内部
    表面に形成された堆積物を清浄する清浄ガスを含む第1の活性化処理ガスを、前
    記処理チャンバに供給する工程; c)ポンプ排出段階で、前記第1の処理ガスの流れを止め、前記処理チャンバ
    から前記第1の活性化処理ガスを排出する工程;及び、 d)第2のエッチング段階で、前記基板上の前記ケイ素含有層をエッチングす
    るためのエッチングガスを含み、前記清浄ガスを実質的に含まない第2の活性化
    処理ガスを、前記処理チャンバに供給する工程、 を含む、前記方法。
  22. 【請求項22】 前記清浄ガスは、NF3、CF4、及びSF6からなる群か
    ら選択される無機フッ素化ガスを含む、請求項21に記載の方法。
  23. 【請求項23】 前記エッチングガスは、HBr、Br2、及びCH3Brか
    らなる群から選択される臭素含有ガス;Cl2及びHClからなる群から選択さ
    れる塩素含有ガス;及びO2及びHe−O2からなる群から選択される酸素ガスを
    含む、請求項21に記載の方法。
  24. 【請求項24】 前記ポンプ排出段階は、前記第1の活性化処理ガスを、前
    記処理チャンバが約0.5ミリトール未満の圧力になるまで前記処理チャンバか
    ら排出する工程を含む、請求項21に記載の方法。
  25. 【請求項25】 前記ポンプ排出段階は、前記第1の活性化処理ガスを、約
    5〜約15秒の間、前記処理チャンバから排出する工程を含む、請求項21に記
    載の方法。
JP2000560302A 1998-07-16 1999-06-30 ポリシリコン用ドーピング無依存式自己清浄エッチング処理 Pending JP2002520872A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/116,621 US6322714B1 (en) 1997-11-12 1998-07-16 Process for etching silicon-containing material on substrates
US09/116,621 1998-07-16
PCT/US1999/014922 WO2000004213A1 (en) 1998-07-16 1999-06-30 Doping-independent self-cleaning etch process for polysilicon

Publications (2)

Publication Number Publication Date
JP2002520872A true JP2002520872A (ja) 2002-07-09
JP2002520872A5 JP2002520872A5 (ja) 2006-08-10

Family

ID=22368266

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000560302A Pending JP2002520872A (ja) 1998-07-16 1999-06-30 ポリシリコン用ドーピング無依存式自己清浄エッチング処理

Country Status (6)

Country Link
US (1) US6322714B1 (ja)
EP (1) EP1109955A1 (ja)
JP (1) JP2002520872A (ja)
KR (1) KR100738699B1 (ja)
TW (1) TW449822B (ja)
WO (1) WO2000004213A1 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451642B1 (en) * 1999-07-14 2002-09-17 Texas Instruments Incorporated Method to implant NMOS polycrystalline silicon in embedded FLASH memory applications
WO2001061740A1 (en) * 2000-02-18 2001-08-23 Applied Materials, Inc. Self-cleaning process for etching silicon-containing material
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
TWI237066B (en) * 2000-12-14 2005-08-01 Mosel Vitelic Inc A method of prevent an etcher from being eroded
US6599839B1 (en) * 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
JP2002305179A (ja) * 2001-04-05 2002-10-18 Matsushita Electric Ind Co Ltd プラズマ処理方法
US6888639B2 (en) * 2001-09-24 2005-05-03 Applied Materials, Inc. In-situ film thickness measurement using spectral interference at grazing incidence
US20040009667A1 (en) * 2002-02-07 2004-01-15 Etsuo Iijima Etching method
US6451647B1 (en) 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US6814814B2 (en) * 2002-03-29 2004-11-09 Applied Materials, Inc. Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
TW200405395A (en) * 2002-05-29 2004-04-01 Tokyo Electron Ltd Method and apparatus for monitoring film deposition in a process chamber
US20040018742A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Forming bilayer resist patterns
US20040018739A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Methods for etching using building blocks
TW200414344A (en) * 2002-09-06 2004-08-01 Tokyo Electron Ltd Method and apparatus for etching Si
AU2003272656A1 (en) * 2002-09-27 2004-04-19 Tokyo Electron Limited A method and system for etching high-k dielectric materials
US6920891B2 (en) * 2002-10-05 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Exhaust adaptor and method for chamber de-gassing
KR100476935B1 (ko) * 2002-10-14 2005-03-16 삼성전자주식회사 식각공정의 임계치수 제어방법
US20040152331A1 (en) * 2003-01-31 2004-08-05 Applied Materials, Inc. Process for etching polysilicon gates with good mask selectivity, critical dimension control, and cleanliness
US6930782B1 (en) * 2003-03-28 2005-08-16 Lam Research Corporation End point detection with imaging matching in semiconductor processing
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
KR101037308B1 (ko) * 2003-05-30 2011-05-27 도쿄엘렉트론가부시키가이샤 고-k 유전성 재료 에칭 방법 및 시스템
US6828187B1 (en) 2004-01-06 2004-12-07 International Business Machines Corporation Method for uniform reactive ion etching of dual pre-doped polysilicon regions
US7682985B2 (en) 2004-03-17 2010-03-23 Lam Research Corporation Dual doped polysilicon and silicon germanium etch
US7195716B2 (en) * 2004-10-08 2007-03-27 United Microelectronics Corp. Etching process and patterning process
US20060196527A1 (en) * 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
KR100838370B1 (ko) 2006-03-31 2008-06-13 주식회사 하이닉스반도체 하드마스크 형성 방법 및 그를 이용한 스토리지노드홀 형성방법
KR100842675B1 (ko) * 2006-12-27 2008-06-30 동부일렉트로닉스 주식회사 트랜지스터의 폴리 게이트에 대한 식각 방법
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US8754530B2 (en) * 2008-08-18 2014-06-17 International Business Machines Corporation Self-aligned borderless contacts for high density electronic and memory device integration
KR20100069392A (ko) * 2008-12-16 2010-06-24 삼성전자주식회사 증착, 식각 혹은 클리닝 공정에서 증착, 식각 혹은 클리닝 종료 시점을 결정하기 위하여 수정 결정 미소저울을 이용하는 반도체 소자의 제조장치 및 이를 이용한 제조방법
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
US9466788B2 (en) 2014-02-18 2016-10-11 Everspin Technologies, Inc. Top electrode etch in a magnetoresistive device and devices manufactured using same
US20150236248A1 (en) * 2014-02-18 2015-08-20 Everspin Technologies, Inc. Top electrode etch in a magnetoresistive device and devices manufactured using same
US9966312B2 (en) * 2015-08-25 2018-05-08 Tokyo Electron Limited Method for etching a silicon-containing substrate
KR101764893B1 (ko) 2015-09-11 2017-08-03 허익수 창작음악용 개량 거문고
DE102017210450A1 (de) * 2017-06-21 2018-12-27 Siltronic Ag Verfahren, Steuerungssystem und Anlage zum Bearbeiten einer Halbleiterscheibe sowie Halbleiterscheibe

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5782955A (en) 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
JPS57174466A (en) 1981-04-22 1982-10-27 Hitachi Ltd Dry etching method
DE3242113A1 (de) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
CA1204525A (en) 1982-11-29 1986-05-13 Tetsu Fukano Method for forming an isolation region for electrically isolating elements
AU544534B2 (en) 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
US4490209B2 (en) 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
JPH0824114B2 (ja) 1984-11-09 1996-03-06 株式会社日立製作所 プラズマエッチング方法
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
JPH0740566B2 (ja) 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
DE3631802A1 (de) 1986-09-18 1988-03-31 Bhs Bayerische Berg Einseitige wellpappenmaschine
US4863561A (en) 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0793291B2 (ja) 1986-12-19 1995-10-09 アプライド マテリアルズインコーポレーテッド シリコンおよび珪化物のための臭素およびヨウ素エッチング方法
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPS6450427A (en) 1987-08-21 1989-02-27 Hitachi Ltd Plasma processing
US4876212A (en) 1987-10-01 1989-10-24 Motorola Inc. Process for fabricating complimentary semiconductor devices having pedestal structures
US4799991A (en) 1987-11-02 1989-01-24 Motorola, Inc. Process for preferentially etching polycrystalline silicon
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US5035768A (en) 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
US5110411A (en) 1990-04-27 1992-05-05 Micron Technology, Inc. Method of isotropically dry etching a poly/WSix sandwich structure
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
EP0463373A3 (en) 1990-06-29 1992-03-25 Texas Instruments Incorporated Local interconnect using a material comprising tungsten
JP2964605B2 (ja) 1990-10-04 1999-10-18 ソニー株式会社 ドライエッチング方法
US5094712A (en) 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5160407A (en) 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5338398A (en) 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5431772A (en) 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
JP3210359B2 (ja) 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JP3253675B2 (ja) 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5358601A (en) 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
DE4132559A1 (de) 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
US5318668A (en) 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US5176792A (en) 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5192702A (en) 1991-12-23 1993-03-09 Industrial Technology Research Institute Self-aligned cylindrical stacked capacitor DRAM cell
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
DE4202158C1 (ja) 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5282899A (en) 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
JP3502096B2 (ja) 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5188980A (en) 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
US5256245A (en) 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
JP3227522B2 (ja) 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5413954A (en) 1992-11-10 1995-05-09 At&T Bell Laboratories Method of making a silicon-based device comprising surface plasma cleaning
JP3277394B2 (ja) 1992-12-04 2002-04-22 ソニー株式会社 半導体装置の製造方法
JP3259380B2 (ja) 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
EP0938134A3 (en) 1993-05-20 2000-01-19 Hitachi, Ltd. Plasma processing method
JPH0729879A (ja) 1993-06-24 1995-01-31 Sony Corp 半導体装置の製造方法
US5354417A (en) 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5382316A (en) 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07147271A (ja) 1993-11-26 1995-06-06 Nec Corp 半導体装置の製造方法
US5741396A (en) 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
US5620615A (en) 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
US5521119A (en) 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5514622A (en) 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5529197A (en) 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JPH0964019A (ja) * 1995-08-30 1997-03-07 Toshiba Corp 半導体製造装置およびそのドライクリーニング方法
US5644153A (en) 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5651856A (en) 1996-01-22 1997-07-29 Micron Technology, Inc. Selective etch process
US5874363A (en) 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US5700741A (en) 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH1023387A (ja) 1996-07-04 1998-01-23 Ekushingu:Kk Catvセンタ装置
US5851926A (en) 1996-10-01 1998-12-22 Applied Materials, Inc Method for etching transistor gates using a hardmask
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5869401A (en) 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5677228A (en) 1997-01-24 1997-10-14 Vanguard International Semiconductor Corporation Method of fabricating a resistor in an integrated circuit
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2

Also Published As

Publication number Publication date
EP1109955A1 (en) 2001-06-27
US6322714B1 (en) 2001-11-27
KR20010053548A (ko) 2001-06-25
KR100738699B1 (ko) 2007-07-12
TW449822B (en) 2001-08-11
WO2000004213A1 (en) 2000-01-27

Similar Documents

Publication Publication Date Title
JP2002520872A (ja) ポリシリコン用ドーピング無依存式自己清浄エッチング処理
US6787054B2 (en) Two-stage etching process
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
JP4579611B2 (ja) ドライエッチング方法
Armacost et al. Plasma-etching processes for ULSI semiconductor circuits
US6461974B1 (en) High temperature tungsten etching process
JP4907827B2 (ja) ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法
US6872322B1 (en) Multiple stage process for cleaning process chambers
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
US5980768A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
US20050103748A1 (en) Plasma processing method
KR20040066170A (ko) 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
KR20040017805A (ko) 유기 반사방지 코팅(arc)층의 에칭 방법
JP2001523044A (ja) 自動洗浄エッチングプロセス
US6492068B1 (en) Etching method for production of semiconductor devices
WO2006073622A2 (en) Low-pressure removal of photoresist and etch residue
JP2004519838A (ja) 窒化チタンをエッチングする方法
US7122125B2 (en) Controlled polymerization on plasma reactor wall
US6797188B1 (en) Self-cleaning process for etching silicon-containing material
JP3760843B2 (ja) 半導体装置の製造方法
US6492279B1 (en) Plasma etching methods
US20030153193A1 (en) Etching method
Fuller Plasma etching
VOSHCHENKOV Fundamentals of plasma etching for silicon technology (Part 1)

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060609

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060609

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090511

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091015