KR20010049274A - 종횡비가 높은 개구에 대한 반응성 플라즈마 에칭 세정 - Google Patents

종횡비가 높은 개구에 대한 반응성 플라즈마 에칭 세정 Download PDF

Info

Publication number
KR20010049274A
KR20010049274A KR1020000020968A KR20000020968A KR20010049274A KR 20010049274 A KR20010049274 A KR 20010049274A KR 1020000020968 A KR1020000020968 A KR 1020000020968A KR 20000020968 A KR20000020968 A KR 20000020968A KR 20010049274 A KR20010049274 A KR 20010049274A
Authority
KR
South Korea
Prior art keywords
plasma
chamber
gas
substrate
aspect ratio
Prior art date
Application number
KR1020000020968A
Other languages
English (en)
Inventor
바니엠. 코헨
진강 수
케니킹-타이 난
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010049274A publication Critical patent/KR20010049274A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

헬륨과 같은 캐리어 가스 및 반응성 할로겐 가스의 플라즈마 가스 선구체 혼합물을 사용하여, 종횡비가 높은 개구로부터 자연 산화물(native oxides)이 제거될 수 있다. 플라즈마에서 발생된 가벼운 이온은 산소와 반응하여 휘발성이 매우 높고 플라즈마 챔버의 배기 시스템을 통해 쉽게 배출될 수 있는 산소 함유종(oxygen-containing species)을 생산해내어, 개구의 바닥이나 측벽 또는 기판 표면 위에 산화물이 재증착되는 것을 방지한다. 기판 위에 플라즈마를 형성하며 기판에 바이어스를 인가할 수 있는 2개의 전원을 가지는 플라즈마 챔버에 기판이 장착될 때, 보이드(voids)의 형성 없이 쉽게 충진될 수 있는 경사진 개구(tapered openings)가 형성된다.

Description

종횡비가 높은 개구에 대한 반응성 플라즈마 에칭 세정{REACTIVE PLASMA ETCH CLEANING OF HIGH ASPECT RATIO OPENINGS}
본 발명은 자연 산화물(native oxides)을 제거하는 개선된 방법에 대한 것이다. 보다 구체적으로 본 발명은 종횡비(aspect ratio)가 높은 개구로부터 자연 산화물을 제거하는데 관한 것이다.
반도체 장비 제조에 있어서, 실리콘 웨이퍼 같은 하나의 반도체 기판 위에 더욱 많은 소자(devices)를 제공하기 위한 노력의 하나로, 수개의 소자 층이 만들어지고, 이층들은 유전체층에 의해 분리된다. 유전체층에는 개구(openings)가 만들어지고 이 개구는 다른 층들간의 전기적 접촉을 제공하기 위하여 도전성 물질로 충진된다. 이러한 개구는 작게 유지되지만, 상대적으로 두꺼운 유전체층을 통과할 수 있어야만 한다. 폭에 대한 깊이의 비를 종횡비(aspect ratio; AR)라 하며, 따라서 지름이 작고 깊은 개구는 종횡비가 높은 개구가 된다. 이러한 개구의 종횡비는 소자가 작게 만들어질수록 증가된다.
자연 산화물은 개구 바닥의 물질이 아주 작은 양의 산소에 노출되어도 쉽게 형성된다; 이 반응은 자발적으로 일어나 얇은 "자연 산화물"층을 형성한다. 실리콘, 알루미늄, 구리 등과 같은 물질은, 개구를 에칭하기 위해 사용되며 챔버에 존재할 수 있는 임의의 산소로부터 손쉽게 "자연 산화물"을 형성한다; 이는 기판이 공기 또는 에칭 단계에서 생성될 수 있는 임의의 산소 함유종(oxygen-containing species)에 노출되기 때문이다.
산화물이 유전체이기 때문에, 산화물을 형성하는 실리콘, 알루미늄 또는 구리와 같은 도전성 물질의 산화는 증착된 도전층의 도전율을 낮추고, 연이어 증착되는 도전성 필름에 접촉 저항이 높은 계면(interface)을 형성한다. 따라서 소자의 열화를 방지하기 위해, 종횡비가 높은 개구는 도전성 접촉물질로 충진되기 전에 이들 자연 산화물이 세정되어야 한다.
이제까지는 버퍼된 산화물 에칭(buffered oxides etch), 예를 들면 HF 및 불화암모늄과 같은 "BOE" 에칭액에의 기판 침지 및/또는 아르곤에 의한 기판의 스퍼터 에칭에 의해, 예비 세정 단계(preclean step)에서 자연 산화물을 제거하였다.
따라서 종횡비가 높은 개구의 바닥 또는 그 측벽을 따라 산화물이 재증착되지 않을 효과적인 예비 세정 즉 진공 상태에서의 자연 산화물 제거를 위한 방법이 연구되어 왔다.
더욱이 이러한 방법은 종횡비가 높은, 즉 AR이 4 또는 그 보다 높은 개구의 바닥으로부터, 개구의 측벽을 따라 자연 산화물의 재증착 없이 자연 산화물을 완전히 제거할 수 있어야 한다.
본 발명은 재증착 즉 산화물 함유 물질의 개구 측벽을 따른 증착이 없이, 종횡비가 높은 개구의 바닥으로부터 자연 산화물을 제거하는 방법을 제공하는 것을 목적으로 한다.
도 1은 본 발명의 세정 단계가 수행될 수 있는 플라즈마 진공 챔버의 단면도이다.
도 2는 본 발명에 의해 세정된 깊게 경사진 개구를 나타낸다.
도 3a는 바닥에 자연 산화물(native oxide)이 있는 개구를 나타낸다.
도 3b는 종래 기술에 의해 스퍼터 에칭된 개구를 나타낸다.
도 3c 및 도 3d는 본 발명의 프로세스에 따라 반응 에칭된(reactively etched) 개구를 나타낸다.
* 도면의 주요 부분에 대한 부호의 설명 *
40...챔버 42...기판 지지재
44...축받이 판 46...리세스(recess)
48...알루미늄 절연판 52...상부면
54...기판 56...갭
60...경사부 72...엔클로져(enclosure)
74...RF 전원 76...가스 유입구
78..가스 배기구 80...전원
82...측벽 84...바닥
86...정부 88...돔
90...프로세스 영역 92...가스 공급원
94...서보 컨트롤 스로틀 밸브 96...진공펌프
98...유도 코일
상기 본 발명의 목적은, 챔버에 존재하는 산소를, 진공 챔버 배기 시스템을 통해 신속하고 완전하게 제거될 수 있는 휘발성 산소 함유 가스 또는 할로겐 함유 가스로 변환시키는 플라즈마에 의해 종횡비가 높은 개구의 바닥을 에칭함으로써 이루어진다.
특히 헬륨 및 할로겐 함유 가스의 플라즈마는 적당한 플라즈마 에칭 챔버 내에 형성된다. 이 에칭제의 혼합물은 존재하는 자연 산화물을 원소 상태의 산소 및 휘발성 할로겐 함유 가스로 변환시킨다. 이들 가스는 챔버로부터 신속하고도 완전하게 배기될 수 있어, 종횡비가 높은 개구의 측벽 또는 바닥에 산소 함유 화합물이 재증착하는 것을 방지한다. 벽에 재증착된 물질과 에칭 챔버에 고정된 물질(fixtures)은 부착이 쉽지 않으므로, 결과적으로 입자가 벽으로부터 떨어져 나와 기판에 증착될 수 있다. 이러한 재증착 역시 본 발명에 의해 방지된다.
본 발명에서 유용한 진공 챔버는 도 1에 나타난 바와 같이 이중 전력 에칭 챔버(dual-power etch chamber)이다. 이 챔버는 캘리포니아 산타 클라라의 Applied Materials, Inc.로부터 입수할 수 있는 Pre-Clean II 챔버와 같은, 구매 가능한 챔버이다. 일반적으로 에칭/예비 세정(etch/preclean) 챔버(40)는 엔클로져(72), 챔버(40) 내에 배치되는 기판 지지재(42), 엔클로져(72)에 연결된 가스 유입구(76), 엔클로져(72)에 연결된 가스 배기구(78), 가스 유입구(76)를 통해 주입된 가스로부터 엔클로져(72) 내에 플라즈마를 발생시키기 위해 유도 코일(98)에 연결되는 RF 전원(74), 기판 지지재(42)에 연결된 전원(80)을 포함한다.
엔클로져(72)는 측벽(82), 바닥(84) 및 정부(top; 86)를 포함한다. 정부(86)의 아래, 프로세스 영역(90)의 위에는 교체 가능한 알루미나(Al2O3) 돔(88)이 설치된다. 인덕터 코일(98)은 알루미나 돔(88) 주위에 설치되고 RF 전원(74)에 연결되어 프로세스 영역(90) 내에서 플라즈마를 유도적으로 결합시킨다.
가스 유입구(76)는 프로세스 가스 공급원(92)에 연결되어 프로세스시 프로세스 가스 혼합물을 챔버(40) 내로 도입한다. 가스 배기구(78)는 서보 컨트롤 스로틀 밸브(94) 및 진공펌프(96)를 포함하는 것이 바람직하다. 진공펌프(96)는 프로세스 전에 챔버(40)를 진공으로 하며, 프로세스 중에는 진공펌프(96)와 서보 스로틀 밸브(94)가 챔버(40) 내에 원하는 압력을 유지한다.
기판 지지재(42)는 일반적으로 알루미늄 절연판(48)의 상면(top surface)의 리세스(46) 내에 배치되는 받침판(pedestal plate; 44)을 포함한다. 받침판(44)의 윗면은 절연판의 상부면(52)보다 약간 높게 연장되어, 기판(54)의 바닥면 중앙부 즉 뒷면과 접한다. 받침판(44)은 알루미나를 포함하는 것이 바람직하며 전원(80)에 연결되어 기판(54)에 바이어스를 제공한다. 기판(54)의 둘레부는, 절연판(48)의 상부 환상면(upper annular surface; 52) 위로 연장되며, 기판(54)의 바닥면(58)과 절연판(48)의 상면(52) 사이에 갭(56)을 형성한다. 갭(56)은 그 높이가 5 내지 15mm 사이인 것이 바람직하며, 플라즈마가 기판(54)의 뒷면에 도달하는 것을 방지한다. 절연판(48) 상면(52)의 바깥쪽 에지 지름은 적어도 기판(54) 및 뒷면(58)의 바깥쪽 에지 지름만큼의 크기를 가져, 뒷면(58)이 받침판(44)과 접한다.
알루미나 절연판(48)의 경사부(beveled portion; 60)는 상부 판 표면(52)의 바깥쪽 에지로부터 아래쪽으로 경사져 연장된다. 경사부(60)의 기울기는 수평면으로부터 약 10 내지 60도 사이이다. 도 1에 나타난 기울기는 약 45도이다. 선택적으로, 기판 지지재(42)는 프로세스가 이루어지는 동안 기판의 온도를 조절하기 위해 온도 조절기 또는 가열기(미도시)를 포함할 수 있다.
작업시, 기판(54)은 기판 지지재(42)에 위치하며 챔버(40)는 진공으로 되어 진공 환경을 제공한다. 캐리어 가스 및 할로겐 함유 가스로서의 헬륨을 포함하는 가스가, 가스 유입구(76)를 통해 프로세싱 영역(90)으로 도입된다. 반응가스의 가스 유동 속도는 이하 자세히 설명되는 바와 같이 필요에 따라 조절된다.
반응을 개시하기 위해, 프로세싱 영역(90)에서 프로세싱 가스 혼합물의 플라즈마가 유도 결합 및/또는 용량 결합에 의해 발생된다. 약 1와트에서 약 500와트 사이, 바람직하게는 50 내지 300와트 사이의 전력을, 약 100kHz 내지 약 100MHz 사이의 주파수를 약 3초와 같이 짧은 시간 동안 사용하여 기판 지지재(42)에 인가함으로써 초기 플라즈마가 발생된다. 초기 플라즈마는 전력을 유도 코일(98)에 인가함으로써 발생될 수도 있다. 프로세스되는 동안, 약 1와트 내지 약 1000와트 사이의 전력, 바람직하게는 약 100 내지 약 400와트 사이의 전력이, 약 100kHz 내지 60MHz 사이의 주파수에서, 프로세스 영역(90) 내의 플라즈마를 유도적으로 유지한다. 챔버(40)의 플라즈마는 유도 결합만에 의해서나 용량 결합만에 의해서, 또는 바람직하게는 이들 양자의 결합에 의해서 유지될 수 있다.
기판 내 개구의 바닥으로부터 자연 산화물을 제거하도록 에칭 시간이 조절된다. 개구 바닥으로부터 약 100 내지 500 Å의 물질을 제거하면 자연 산화물 전부가 제거되지만, 스퍼터링을 하지 않으면 개구 측벽에는 임의의 산소 함유종이 존재한다. 도전층의 증착 전에 개구를 정렬하기 위하여 배리어층이 사용될 경우, 측벽에 존재하는 산화물은 알려진 바와 같이 배리어 층과 소자의 질을 낮춘다.
상기 프리클린 II(PreClean II) 챔버는, 기판을 진공상태로부터 제거하여 산소에 노출시키지 않고, 한 프로세싱 챔버로부터 다른 프로세싱 챔버로 이송할 수 있게 하는 다중 챔버 시스템의 일부로 사용될 수 있다. 이와 같은 시스템은 본 명세서의 참고문헌인 Maydan 등의 미국 특허 제4,951,601호에 개시되어 있다.
본 발명에 따라 헬륨과 같은 캐리어 가스와 혼합된 반응성 할로겐 함유 가스인 반응성이 높은 에칭제 가스가 도입되어 산화실리콘과 같은 자연 산화물을 제거한다. 적절한 반응성 할로겐 함유 가스에는 니트로겐 트리플로라이드, 카본 테트라플로라이드, 설퍼 헥사플로라이드, 카본 테트라클로라이드, 보론 트리클로라이드 등이 포함된다. 상술한 바와 같이 챔버의 플라즈마 영역에서, 할로겐 함유 가스는 가벼운 이온 및 라디칼로 분리되어 자연 산화물과 급속하게 반응한다. 헬륨 원자 역시 플라즈마 내에서 이온화하여, 할로겐 함유 분자의 여기(excitation)와 분해를 증가시킨다. 플라즈마 내에서 본 발명의 에칭제 혼합물 사이에서 일어나는 반응, 예를 들어 니트로겐 트리플로라이드 및 헬륨과 산화실리콘 사이에서 일어나는 반응은 아래 반응으로 요약될 수 있다.
1) NFn+ e-→ NFn-1+ F-
2) F-+ e-→ F + 2e-
3) 4F + SiO2→ SiF4↑ + O2
따라서 전체 반응은:
4) 3SiO2+ 4NF3→ 3SiF4↑ + 2N2↑ + 3O2
플라즈마 내에서 에칭제 혼합물로부터 형성된 이온은 무게가 가볍기 때문에 스퍼터링은 거의 일어나지 않고, 예비 세정 단계는 할로겐 함유 플라즈마 내의 이온 및 라디칼과, 기판의 산화실리콘 사이의 반응에 의해 이루어진다. 니트로겐 트리플로라이드와 같은 반응성 할로겐 함유 가스의 상대적인 양은, 일반적으로 약 20% 이하인 낮은 값으로 유지되어, 낮은 에칭속도를 유지하여, 자연 산화물을 제거하는데 필요한 이상으로 물질을 제거하는 것을 방지한다. 할로겐 함유 가스 및 헬륨 분자의 무게가 가볍기 때문에 스퍼터링은 거의 일어나지 않는다.
그러나 상기 반응을 더욱 촉진하기 위해 a) 아르곤 또는 b) 수소와 아르곤의 혼합체를 첨가함으로써, 산화물의 감소 및/또는 산화물의 스퍼터링이 가능하게 되어, 종횡비가 매우 높은 개구의 바닥 및 측벽으로부터의 신속한 반응 및 제거를 촉진할 수 있다.
본 발명은 이하의 실시예에 의해 더욱 자세히 설명될 것이나, 여기서 설명하는 것에 한정되지는 않는다.
실시예
도 1에 나타난 바와 같은 반응기에서, 위쪽에 자연 산화물층을 가지며 상부면에 12:1의 높은 종횡비의 개구를 가지는 실리콘 기판이, 50sccm의 속도로 챔버 내로 도입되는, 원자 농도 10%의 니트로겐 트리플로라이드와 원자 농도가 90%의 헬륨으로 형성되는 플라즈마에 노출된다. 챔버 압력은 50mTorr이었다.
기판에 가해진 바이어스가 변화되고 에칭은 기판으로부터 500Å의 물질을 제거할 때까지 계속되었다. 산화물을 제거하는데 걸리는 시간이 측정되었다. 그 결과가 아래 표 I에 요약되어 있다.
표 I
실시예 플라즈마/바이어스(W) 에칭량(Å) 시간(초)
1 300/300 500 52.2
2 200/400 500 47.2
컨트롤*300/300 500 93.5
*아르곤 가스 단독
따라서 본 발명의 반응 에칭제 혼합물을 사용함으로써 에칭 시간이 거의 반으로 줄어들며, 아르곤 스퍼터링 가스를 에칭제로 사용할 경우에 비하여, 개구 상부 및 바닥으로부터 제거된 물질의 양이 동등하다.
도 2는 실시예 1에서 형성된 세정이 끝난 경사진 개구로서, 개구 바닥에서의 지름이 0.25㎛이고 깊이가 2.8㎛인 AR비 12:1의 개구를 나타낸다. 이러한 경사진 형상 때문에, 개구는 보이드(voids) 형성 없이 도전성 물질로 충진될 수 있다.
모든 산화물 또는 유전체가 개구의 바닥으로부터 제거되었고, 개구 측벽에는 증착된 물질이 없는 것이 명백하다.
필드 에칭량이라 불리는 기판 상부 표면으로부터 제거된 물질량(옹스트롬으로 표시) 및 바닥 에칭량으로 불리는 개구 바닥 표면으로부터 제거된 물질량 역시 상기 실시예 및 컨트롤에 대해 측정되었다. 그 데이터가 아래 표 II에 요약되어 있다.
표 II
실시예 필드 에칭량(Å) 바닥 에칭량(Å)
1 585 473
2 724 542
컨트롤 613 78
따라서 실시예의 에칭제 혼합물에 의해 개구 바닥에서의 에칭 제거가 상당히 개선되었다.
도 3a는 컨트롤로서 산화실리콘에 형성된 개구의 프로필을 나타낸다.
도 3b는 산화실리콘에 형성되고 자연 산화물을 제거하기 위해 스퍼터 에칭된 개구의 프로필이다.
도 3c 및 도 3d는 본 발명(실시예 1 및 2 각각)에 의해 세정된 반응성 에칭된 개구를 나타낸다. 도 3c 및 도 3d의 경사진 측벽은 도전성 물질로 충진되기가 훨씬 쉽다.
본 발명을 구체적인 반응 챔버 및 반응 가스에 대해 설명하였으나, 당업자라면 다른 예비 세정 챔버 및 다른 에칭제 혼합물이 대신 사용될 수 있고, 이 역시 본 발명의 범주에 들어가는 것임을 알 수 있을 것이다.
이상 설명한 바와 같이 본 발명은 재증착 즉 산화물 함유 물질의 개구 측벽을 따른 증착이 없이, 종횡비가 높은 개구의 바닥으로부터 자연 산화물을 제거하는 방법을 제공한다.

Claims (17)

  1. 종횡비가 높은 접촉 개구(contact openings)의 바닥으로부터 자연 산화물(native oxide)을 제거하기 위한 방법으로서,
    챔버 내에서 할로겐 함유 가스와 캐리어 가스의 혼합물의 선구체(precursor)로부터 형성되는 반응 플라즈마로 상기 개구를 에칭하여 무게가 가벼운 산소 함유 반응 생성물을 형성하는 단계와,
    챔버로부터 상기 산소 함유 반응 생성물을 배기시키는 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 할로겐 함유 가스가, 니트로겐 트리플로라이드, 카본 테트라플로라이드, 설퍼 헥사플로라이드, 카본 테트라클로라이드 및 보론 트리클로라이드로 이루어지는 그룹으로부터 선택되는 방법.
  3. 제2항에 있어서, 상기 할로겐 함유 가스가 니트로겐 트리플로라이드인 방법.
  4. 제1항에 있어서, 헬륨 캐리어 가스 내에서 상기 플라즈마는 할로겐 함유 가스의 약 1 내지 약 50 퍼센트로부터 형성되는 방법.
  5. 제1항에 있어서, 상기 플라즈마 선구체가 수소를 추가로 포함하는 방법.
  6. 제3항에 있어서, 상기 플라즈마 선구체가 아르곤을 추가로 포함하는 방법.
  7. 종횡비가 높은 접촉 개구의 바닥으로부터 자연 산화물을 제거하기 위한 방법으로서,
    a) 기판 지지재에 연결되어 기판 및 배기 시스템에 바이어스를 제공하는 전원과 플라즈마 챔버에 플라즈마를 발생시키기 위해 RF 전원에 연결된 외부 코일이 장착된 플라즈마 챔버 내에서, 종횡비가 높은 개구를 가지는 기판을 기판 지지재 위에 설치하는 단계와,
    b) 플라즈마 선구체 혼합물 가스를, 할로겐 함유 가스와 캐리어 가스의 혼합물을 포함하는 챔버로 보내는 단계와, 그리고
    c) 챔버로부터의 산소 함유 반응 생성물을 배기시키는 단계를 포함하는 방법.
  8. 제7항에 있어서, 상기 할로겐 함유 가스가, 니트로겐 트리플로라이드, 카본 테트라플로라이드, 설퍼 헥사플로라이드, 카본 테트라클로라이드 및 보론 트리클로라이드로 이루어지는 그룹으로부터 선택되는 방법.
  9. 제8항에 있어서, 상기 할로겐 함유 가스가 니트로겐 트리플로라이드인 방법.
  10. 제7항에 있어서, 상기 캐리어 가스가 헬륨인 방법.
  11. 제7항에 있어서, 인가된 바이어스 전력이 약 1 내지 500와트 사이인 방법.
  12. 제7항에 있어서, 상기 코일에 인가된 RF 전력이 약 1 내지 1000와트 사이인 방법.
  13. 제10항에 있어서, 상기 플라즈마 선구체가 수소를 추가로 포함하는 방법.
  14. 제10항에 있어서, 상기 플라즈마 선구체가 아르곤을 추가로 포함하는 방법.
  15. 제7항에 있어서, 상기 플라즈마 선구체가 아르곤을 추가로 포함하는 방법.
  16. 종횡비가 높은 접촉 개구의 바닥으로부터 자연 산화물을 제거하기 위한 방법으로서,
    a) 기판 지지재에 연결되어 기판 및 배기 시스템에 바이어스를 제공하는 전원과 플라즈마 챔버에 플라즈마를 발생시키기 위해 RF 전원에 연결된 외부 코일이 장착된 플라즈마 챔버 내에서, 종횡비가 높은 개구를 가지는 기판을 기판 지지재 위에 설치하는 단계와,
    b) 플라즈마 선구체 혼합물 가스를, 니트로겐 트리플로라이드와 헬륨의 혼합물을 포함하는 챔버로 보내는 단계와,
    c) 50 내지 300와트의 전력을 기판 지지재에 인가하며 100 내지 400와트의 전력을 외부 코일에 인가하여 챔버 내에 플라즈마를 형성하는 단계와, 그리고
    d) 챔버로부터의 산소 함유 반응 생성물을 배기시키는 단계를 포함하는 방법.
  17. 제16항에 있어서, 상기 헬륨 내의 니트로겐 트리플로라이드 농도가 1 내지 약 50 퍼센트인 방법.
KR1020000020968A 1999-04-22 2000-04-20 종횡비가 높은 개구에 대한 반응성 플라즈마 에칭 세정 KR20010049274A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/298,065 US6110836A (en) 1999-04-22 1999-04-22 Reactive plasma etch cleaning of high aspect ratio openings
US9/298,065 1999-04-22

Publications (1)

Publication Number Publication Date
KR20010049274A true KR20010049274A (ko) 2001-06-15

Family

ID=23148860

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000020968A KR20010049274A (ko) 1999-04-22 2000-04-20 종횡비가 높은 개구에 대한 반응성 플라즈마 에칭 세정

Country Status (5)

Country Link
US (1) US6110836A (ko)
EP (1) EP1047123A2 (ko)
JP (1) JP2000315685A (ko)
KR (1) KR20010049274A (ko)
SG (1) SG78415A1 (ko)

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Families Citing this family (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4910231B2 (ja) * 2000-10-25 2012-04-04 ソニー株式会社 半導体装置の製造方法
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
TW511135B (en) * 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
JP3580255B2 (ja) * 2001-01-10 2004-10-20 松下電器産業株式会社 プラズマ処理方法
US6803309B2 (en) * 2002-07-03 2004-10-12 Taiwan Semiconductor Manufacturing Co., Ltd Method for depositing an adhesion/barrier layer to improve adhesion and contact resistance
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9051655B2 (en) 2013-09-16 2015-06-09 Applied Materials, Inc. Boron ionization for aluminum oxide etch enhancement
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9576788B2 (en) * 2015-04-24 2017-02-21 Applied Materials, Inc. Cleaning high aspect ratio vias
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US10861693B2 (en) * 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10544519B2 (en) * 2017-08-25 2020-01-28 Aixtron Se Method and apparatus for surface preparation prior to epitaxial deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR102527660B1 (ko) 2017-12-26 2023-05-03 삼성전자주식회사 공기청정기 및 가전제품
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
JP3347203B2 (ja) * 1993-12-27 2002-11-20 富士通株式会社 微細空洞形成方法及び微細空洞を有する微小装置
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch

Also Published As

Publication number Publication date
EP1047123A2 (en) 2000-10-25
JP2000315685A (ja) 2000-11-14
US6110836A (en) 2000-08-29
SG78415A1 (en) 2001-02-20

Similar Documents

Publication Publication Date Title
KR20010049274A (ko) 종횡비가 높은 개구에 대한 반응성 플라즈마 에칭 세정
US5399237A (en) Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US6136211A (en) Self-cleaning etch process
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
US6867086B1 (en) Multi-step deposition and etch back gap fill process
US6287978B1 (en) Method of etching a substrate
JP4579611B2 (ja) ドライエッチング方法
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US8771539B2 (en) Remotely-excited fluorine and water vapor etch
US6008139A (en) Method of etching polycide structures
EP0691678A2 (en) Process for plasma etching of vias in a dielectric layer with removal of residues
US5759360A (en) Wafer clean sputtering process
Oehrlein et al. Plasma-based dry etching techniques in the silicon integrated circuit technology
JP2004512668A (ja) フルオロカーボンのエッチングガスを用いた磁気的に増強されたプラズマエッチング方法
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
WO2003107410A2 (en) Process for etching dielectric films with improved resist and/or etch profile characteristics
JPH07161702A (ja) 酸化物のプラズマエッチング方法
JP2005508078A (ja) 高アスペクト比形態のエッチング方法
US20020142610A1 (en) Plasma etching of dielectric layer with selectivity to stop layer
KR20010042106A (ko) 고밀도 플라즈마 공정 시스템에서 기판의 실리콘층에트렌치를 형성하는 기술
JPH04326726A (ja) ドライエッチング方法
KR20010080686A (ko) 아르곤, 헬륨 및 수소가스를 이용한 플라즈마 예비세정 방법
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US6194325B1 (en) Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
KR101913676B1 (ko) 기판 처리 방법 및 기억 매체

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid