TW506019B - A method of simultaneously etching a substrate and cleaning a chamber - Google Patents

A method of simultaneously etching a substrate and cleaning a chamber Download PDF

Info

Publication number
TW506019B
TW506019B TW087118554A TW87118554A TW506019B TW 506019 B TW506019 B TW 506019B TW 087118554 A TW087118554 A TW 087118554A TW 87118554 A TW87118554 A TW 87118554A TW 506019 B TW506019 B TW 506019B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
etching
substrate
gas
cleaning
Prior art date
Application number
TW087118554A
Other languages
English (en)
Inventor
Xue-Yu Qian
Zhi-Wen Sun
Weinan Jiang
Arthur Y Chen
Gerald Zheyao Yin
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW506019B publication Critical patent/TW506019B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

五、發明説明() 發明領域. 本發明與-種在#刻反應室中㈣半導體基板的方 法有關,並且清理該蝕刻反應室。 發明背景: 在積體電路的製造中’基板中的二氧切、氮化珍、 多晶秒、金屬秒化物與單晶,夕’在預定圖案下被姓刻以形 成問極、介層、接觸電洞、渠溝’與/或内連線。在姓刻的 製程中’使用普通的方法在基板上形成包含氧化物或氮化 物之硬遮罩或光阻之圖案遮罩層,在遮罩圖案之間基板暴 露的部分被以電容或電感轉合餘刻劑氣體之電漿所飯 刻,在姓刻的製程期間,-層薄的聚合物之姓刻殘餘物沉 積在牆上與钕刻反應!:内部白勺其他元件表面i,蚀刻殘餘 物之組成«姓刻齋氣體纟蒸發物質的組&,與蝕刻的 基板材質及應用在基板之遮罩或光阻層而定。例如,當矽 化鎢、多晶碎、或其他包含石夕層被勉刻時,包含石夕氣體的 物質從基板蒸發或賤鍵’並姓刻金屬層造成金屬物質的蒸 發此外在基板上的光阻層或遮罩層也藉由姓刻劑氣體 邵分地蒸發,以形成碳氫化合物的氣體或氧氣物質,反應 室中态發或氣體的物質從抗蝕層凝結以形成碳氫化合物 物質組成的聚合物副產品;氣體的元素如氟、氯、氧、或 氮;與元素的矽或金屬物質視蝕刻基板的組成而定。聚合 物副產品的沉積如同牆上與反應室元件的蝕刻殘餘物之 薄層一樣。橫越反應室表面之蝕刻殘餘物層的組成典型地 _____ 第戽頁 本紙張尺度適用中國國家標準(cns ) 請 閲 讀- 背 之《 注 意 事 項 再 Ψ 頁 裝 訂 經濟部智慧財產局S工消費合作社印製
五、 發明說明( 變化,視局部化氣體的環境組成,氣體入口與排出氣體口 之位置,和反應室幾何形狀而定。 不同組成、非均態的蝕刻殘餘物層形成於蝕刻反應室 表面必須週期性的清理以防止基板污染。典型地,處理約 25片晶圓後,在一空的蝕刻反應室完成同氣室電漿”乾洗” 製程以清理反應室,然而,強力的電漿物質快速地侵蝕反 應室牆與反應室元件,經常更換上述部分與元件是昂貴 的。同樣地,反應室表面的污染能造成從一晶圓至另一晶 圓姓刻製程的不穩定,不同組成薄的蝕刻殘餘物在阻止同 氣室電漿清理製程中移去所有的殘餘物將變得困難,造成 置於反應室表面下的污染且清理所有反應室表面硬的殘 餘物亦將是困難的。例如,靠近反應室入口或排氣口所形 成的蝕刻殘餘物通常比靠近基板所形成的蝕刻殘餘物有 更高的蝕刻劑氣體物質濃度,其基板典型地包含較高濃度 的抗蝕層、硬遮罩、或蝕刻物質。 形成均勻地蚀刻於不同組合的蚀刻殘餘物之清理電 漿疋困難的’如此在清理完約1 〇 〇或3 0 〇片晶圓後,蚀刻 反應室朝大氣開啟並以”濕洗”製程清理,操作員使用酸類 或溶劑以擦洗並於反應室牆上溶解聚積蝕刻殘餘物^為提 供與前後一致的反應室表面特性,在濕洗的步驟之後,反 應室將進行減壓抽氣一段時間以乾燥反應室表面,之後, 進行一連串檔片(dummy wafers)的蝕刻步驟。反應室内部 表面應有前後一致的化學表面,亦即,表面具有些微或沒 有變化的濃度、形態,或表面化學類的作用;否則,在反 第5頁 ---I---·-------------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 506019
五、發明說明() 經濟部智慧財產局員工消費合作社印製 應室中完成的蝕刻製程能從一基板至另一基板產生廣泛 不同的蝕刻特性。在抽氣的過程中,在濕洗的處理時反應 室保持在2至3小時的高真空環境以加熱分散水分並使其 他易揮發的種類陷入反應室中,之後,在反應室中完成蚀 刻製程,並在權片中執行1〇至15分鐘,或直到反應室提 供前後一致且可再生的蝕刻特性。 在競爭的半導體業中,在乾性或濕性清理與調節製程 的步驟中,由每一基板因為蝕刻反應·室的關機時間所增加 的成本,是極不能接受的。通常每一乾洗製程步驟須花5 至10分鐘,濕洗製程步騾須花2至3小時完成。亦即, 濕洗與適當的製程通常提供前後不一致與易變的蝕刻特 性,特別是因為濕洗製程是藉由操作員手動完成,通常從 一期間改變至另一期間,產生於反應室表面的特性變化與 蝕刻製程的低再生性。因此預期有一蚀刻製程能移去或排 除在反應室表面蚀刻殘餘物的沉積。 在半導體製造中,在多重層物質蝕刻中具有相似的成 分元素仍產生其他物質的問題,例如,包含矽物質如矽化 鎢、多晶矽、氮化矽與二氧化矽,參考第la圖與第lb圖, 一典型的多重層多晶矽化金屬結構半導體基板20包含金 屬矽化物層22沉積在摻雜或不摻雜多晶矽層24之上,多 晶矽化金屬層形成於二氧化矽層26之上,以形成蚀刻特 徵30。在這些多重層架構中,與在抗蚀層28 ’或在多晶 矽層24上有關的金屬矽化物層做蝕刻以獲得一南蚀刻選 擇性比例是困難的,對具有非平面與高旋轉地形圖1多晶 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) (請先閱讀背面之注意事項再填冩本頁)
506019 A7 經濟部智慧財4局R工消費合作社印製 五、發明説明( 矽化金屬結構特別預期有高蝕刻選擇性比例,其在蝕刻特 徵間共形的金屬矽化物層22的部分比在蝕刻特徵上部的 金屬矽化物層22的部分還厚(圖未顯示)。蝕刻製程於確定 的時間中,當較厚的金屬矽化物層22仍被蝕刻時,較薄 的金屬矽化物層被蝕刻穿透並蝕刻在多晶矽層的開端,相 對於金屬矽化物層的蝕刻速率,多晶矽層24需要足夠慢 的餘刻,即在完成金屬矽化物層22較厚部分的蝕刻前, 在較薄的金屬矽化物層下的全部的多晶矽層24沒有被蝕 刻穿透。因此,相對於多晶矽層24的蝕刻速率,能預期 金屬矽化物層2 2有較快的姓刻速率,相同的問題產生在 氮化矽3 2的遮罩層蝕刻上,在一非常薄的二氧化矽層3 4 中,先前的技術是在一包含矽36的基板形成渠溝,例如 第1 c圖與第1 d圖所示,蝕刻渠溝3 8使用絕緣主動式 Μ Ο S F E T元件形成於基板,钱刻氮化珍之姓刻選擇性比例 相對於二氧化珍必須要非常高且阻止二氧化矽層沒有蝕 刻穿透此層。 高餘刻選擇性比例藉由在顯著的不同蝕刻比例中,使 用姓刻不同包含矽物質的製程氣體组成所獲得,並視具有 一特別層之特別製程氣體組成的化學反應而定。然而,姓 刻金屬碎化物層對單晶矽具有高度選擇性,或者蝕刻氮化 矽層對二氧化矽層具有高度選擇性,其特別地不同是因為 物貝包含矽元素與大邵分傳統的蝕刻劑電漿蝕刻包含矽 層以形成氣體的SiClx或SiFx的物質。因此,金屬珍化物 層22比多晶矽層24快,與氮化矽層32比二氧化矽層w 請 先 閱 讀- 背 之 注 意 事 項 再 f ,裝 玎 第7頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐 A7 經濟部智慧財產局員工消費合作社印製 發明説明( 快的化學性區別與優先的蝕刻對蝕刻南 4 d私漿而言是困難 的。因為蝕刻殘餘物形成於反應室側辟十 j埜亦包含二氧化矽使 問題更加惡化,並企圖在矽化物蝕刻_ 41私中移去蝕刻殘餘 物,造成這些層的蝕刻選擇性比例實質地降低。 因此第一目的在蚀刻反應室中且古:士 。主γ具有減少蝕刻殘餘物 沉積之形成的蝕刻製程,第二目的在於铋釗 J在於蝕刻或清理氣體不 致侵蝕反應室中暴露的表面,第三目的在热 阏在於具有恢復初始 的化學反應與反應1:表面的表面函數群的蝕刻或清理製 程,第四目的就反應室清理製程中以移去具有多變的厚度 與化學計量學的化學性黏著蚀刻殘餘物層,而在反應室表 面之下沒有過度的侵飯,第五目的找出一個可以去除蝕刻 多重層物質所產生的蚀刻殘餘物的蝕刻製程,而不犧牲其 姓刻選擇比,上述的多重物質例如在蝕刻多晶石夕層上的發 化鎢過程中’或在蝕刻二氧化矽層上的氮化矽過程中,二 氧化矽所包含的蝕刻殘餘物。 發明目的及概述: 本發明提供一種在蝕刻反應室中蝕刻基板的方法,使 其減少或完全消除在反應室表面之蝕刻殘餘物,與提供較 高一致性與重製性的蝕刻性能。本方法以蝕刻基板並同步 的清理蝕刻反應室中的蝕刻殘餘物,基板配置於反應室 中’電漿的形成從製程氣體傳入反應室以同步地蝕刻基 板,並清理在反應室表面沉積的蝕刻殘餘物。製程的氣體 包含〈I〉蝕刻基板的蝕刻氣體以形成在反應室表面之蝕 請 閱 讀- 背 © 之 注* 意 事 項 再 裝 頁 訂 第8頁 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ 297公釐) A7 、發明説明( B7 經濟部智慧財產局S工消費合作社印製 餘物與〈Π〉清理蝕刻殘餘物的清理氣體以形成於 反應至表面上,選擇清理氣體與蝕刻劑氣體的容積流率使 蝕刻殘餘物實質地在完成該蝕刻製程後立即從反應室表 面上完全地移去。 當同步地清理包含蝕刻殘餘物的二氧化矽之蝕刻反 j ▲時,本方法對基板上金屬矽化物、氮化矽、多晶矽、 或單結晶矽之蝕刻是有效的,本方法中,從一個或多個 製程氣體傳入反應室中以產生電漿,以蝕刻基板與清理在 蝕刻反應室表面之蝕刻殘餘物。製程的氣體包含〈j〉蝕 刻劑氣體用以蝕刻在基板之金屬矽化物、多晶矽、或矽化 物而形成在蝕刻反應室表面上的二氧化矽之蝕刻殘餘 一人〈Π > m理氣體用以移去在蝕刻反應室表面上的二 氧化矽 < 蝕刻殘餘物,選擇清理氣體與蝕刻劑氣體的容積 流率以實質地在完成該蝕刻製程後立即從反應室表面上 所有的二氧化矽之蝕刻殘餘物完全地移去。 本發明的一實施例中,一基板包括一包含矽的物質(金 屬夕化物、單-結晶矽' 多晶矽、氮化矽或二氧化矽)使 用匕;Ch、N2、與CF4的製程氣體之電漿蝕刻,選擇容 積流率以實質地在完成該蝕刻製程後立即移去所有形成 於反應室表面上之蝕刻殘餘物。更佳地,沒有完成對清理 反應1的刀離清理步騾,而選擇Ch、N2、與CF4的容積 流率以貝貝地移去所有於反應室中蝕刻至少2⑽〇片基板 所產生的所有钱刻殘餘物。叫:〈ci2+N2〉的容積流率 之比例從为1 . 20至更佳的約1 : 1。另一實施例中,此製 用中國國家 第9頁 A4規格(210X297公釐) (請先閱讀背面之注意事項再^^本頁) 裝· 訂 -線· A7 B7 、發明説明( 牙主使用的製程顏許—人 孔包含ci2、n2、與sf6,更佳地,sf6 : ^ 2 N2〉的备積流率之比例從約1 : 20至約1 : 1。另一 她例中’適合的製程氣體包含Cl2、N2、與NF3,更佳 5 N F 3 · ( C ] -l· XT \ 2 N2〉的容積流率之比例從约1 : 20至約1 : 1 ° 本方法對fa -Γ rr~ » _ 夕重層的姓刻基板特別有效,例如,包 含矽化鎢盎客日眩、 日日夕層又兹刻矽化物結構,或沉積在二氧化 夕層上足链刻氮化石夕層—當同步的清理包含蝕刻殘餘物的 ^ 夕之兹刻反應室。在多重階段(stages)製程中有多重 層蝕刻,在弟一蝕刻階段(stage)中,第一蝕刻劑氣體傳入 反底至並仗第一蝕刻劑氣體蝕刻第一層以產生電漿,在第 -蝕刻階段(stage)中,第二蝕刻劑氣體傳入反應室並從第 蝕刻训氣體蝕刻第二層以產生電漿,在幾個階段中,清 理氣體增加蝕刻劑氣體而產生一清理電漿以移去於蝕刻 1¾ #又中开y成蝕刻反應室表面的所有二氧化矽之蝕刻殘餘 物。 k另一方面來看,本發明的方法對製造半導體基板是 有效的,此方法中,包含用以蝕刻基板的蝕刻劑氣體的製 私氣體,被傳入反應室中,從蝕刻劑氣體產生的蝕刻基板 私桌,在基板仍被蝕刻時,突然送出的清理氣體被加入至 製私氣體中以清理反應室表面,清理氣體有足夠的時間增 加,並且清理氣體與蝕刻劑氣體的容積流率之比足夠的 高,以實質地移去在蝕刻製程之間沉積或形成的所有蝕刻 殘餘物。 第10頁
506019 五、發明説明() 本發明的其他特徵,觀點,與 赏點將從下列本 說明例中之圖式、描述與所附的專 發月的 丁〜〒明範圍中得到 的瞭解,在下列說明本發明的示範 炅佳 、一 竹试 < 描述與圖式中, 通常更能瞭解本發明所使用的每一特 3 、、、、 个,、疋在特別的 圖式又字裡,本發明更包含這些特徵的任何組合。 經濟部智慧財產笱員工消費合作社印製 圖式簡單說明: 第卜圖為具有二氧㈣、多晶石夕、金屬珍化物與抗 層之基板的剖面概要圖。 第lb圖為在蝕刻第U圖之金屬矽化物與多晶矽層後之剖 面圖。 第1 c圖為矽或多晶矽基板具有氮化矽遮罩層之剖面圖。 第Id圖為在蝕刻第1c圖之矽或多晶矽後渠溝之剖面圖。 第2圖為本發明的茲刻設備之部分概要側面圖。 第3圖為蝕刻基板並同時清理蝕刻反應室的表面之製程步 驟流程圖與其構成要素。 第4a圖與第4b圖為顯示對一批沒有清理反應室之3〇〇〇 片晶圓其各別的wsix與多晶矽蝕刻比例,及Ws^ 對多晶矽的蝕刻選擇比例。 第5a圖與第5b圖為顯示對一批沒有清理反應室之 片晶圓其各別的多晶矽與二氧化矽钕刻比例,及多 晶矽過度蝕刻比例穩定度。 第6圖為顯示在晶圓上蝕刻特徵之臨界尺寸差異。 第7a與第7b圖為顯示對一批沒有清理反應室之3〇〇〇片 兹劑 —----^--^---扯衣__ (請先閱讀背面之注意事項再本頁) -、11 •f 第11頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210x297公釐) 五
、發明説明( 晶圓其平均累計的微粒程度。 麗·^對照說1 : 20 設備 25 基板 30 反應室 45 製程反應室的側壁 55 頂篷 60 基板中央 65 氣體分佈系統 70 製程氣體供應器 75 電腦控制系統 90 抽氣系統 95 節流閥 100 幫浦管道 110 電漿產生器 115 感應天線 120 第一電極 125 第二電極 135 陶瓷部分· 145 握持器 150 電性導體 155 電極電壓供應器 160 射頻電壓源 165 直流電壓源 170 機械手臂 175 孔洞 180 205 氣壓式舉起裝置 電性操作閥 200 第二氣體供應系統 iiMliE說明: 依據本發明蝕刻基板25適合之設備2〇,如第2圖概 各地說明’包含足義—處理基板的製程區域之密閉的製程 反應至3 0。一負載室轉換區(圖未顯示)繼續維持在低壓以 支持基板25的一盒子。此處所顯示設備2〇之特別實施例 僅說明本發明適合於半導體基板25的製程,但本發明不 五、發明説明( 限於此實施例。密閉的反應室 卉側壁與牆底邵的製造 可從種種的物質包含金屬、陶資、玻璃、聚合物與包括此 物質之中任何一個,定義钱刻反應室3〇嘯呈區域是直 接位於基板25周圍之上並至少包含—體積約1G_立方 ^刀\’更佳地約在1_〇至5_〇立方公分,反應室包含 一頂篷55,其形狀可以是平坦的、矩形、拱形、圓錐形、 半球形m射半球形。更佳地,穿過電㈣程區域之 全邵體積的半球形頂篷55冑供均勾分佈之電漿源功率, :球形的頂篷55比平坦的頂篷更能從基板中央6〇,減少 非k基板25離子分離的重新组合之損失,並提供穿過基 板表面更有均勻電聚的離子濃度。半球形頂蓬55可以是 平的半球形、圓錐形、截面圓錐形、園柱形,或其他形狀 的組合,以提供在基板25之上的半球形表面。 製程氣體經由一氣體分佈系統65傳入反應室3Q中, 匕氣私分佈系統65包含一製程氣體供應器70與包含一普 、 * &制系統7 5操作氣體流程控制闕之氣體流程控制 系、、、先此氣體分饰系統6 5包含位於環繞基板2 5周圍之氣 jyu ^ 乳口 85(圖未顯示),或鑲嵌在反應室30上具有排氣 /、 <噴濺頭(圖未顯示)。第二氣體供應系統200經由 包性操作閥205提供反應室30的清理氣體,用過的製程 氣與餘刻劑副產品經由抽氣系統9 0從製程反應室3 〇 |由 出(通常包含一 1〇〇〇公升/秒的粗糙幫浦),能在反應室3〇 中達到一约10-3mTo„的低壓,節流閥95提供抽氣系統9〇 以&制用過的製程氣體之流量與反應室3 0中製程氣體的 (請先閲讀背面之注意事項再本貢) 裝· 線 經濟部智慧財產局員工消費合作钍印製 本紙張尺度 第13頁 (〇叫八4規格(210/ 297公釐) A7
壓力:更佳地,-句稱的幫浦管it 1GG被用於將氣體排出 反應罜30’以提供環繞在基板表面1〇5的氣體種類更勻稱 與均勻的分怖。 脾由導人反應室30中的製程氣體產生f:漿,使用一電 :屋生器110,其耦合一電場至反應室的製程區域中,一 合適的電漿產生器110包含具有-個或多個感應線圈的感 應天線’具有一圓形對稱的中心軸符合延伸製程反應室30 的中心之縱向垂直轴與垂直基板25的平面。更佳地,感 應天線115包含從1至10圈的螺線管線圈,更典型的從2 至6圈’選擇螺線管線圈數以提供預期的電流產生,靠近 ::蓬$的天、,泉圈數提供一強大的電感流量以連接耦合至 電装中’因此增大鄭近基板25 ^電漿區域之電漿離子密 度’參考美國專利說明書第08/648,254 Ε。當感應天線 ⑴靠近於半圓形頂篷55時,反應室的頂篷w包含可穿 透射頻場的介電質,如二氧化矽之機制的厚片,矽片或一 個黏接著一個的二氧化矽’以提供一彎曲的形狀。更佳 地,因為靠近感應線圈丨丨5離子密度受區域的離子化影 響,且多輻射的感應線圈比半球狀線圈更接近基板中央 6〇,所以感應線圈纏繞在製程反應室30的侧壁45是 一具有’’平坦”半圓形多輻射半圓形狀的感應線圈,直接在 基板中央60上提供增加電漿離子密度。另一較佳實施例 中,頂篷55包含至少一中央半徑R與一轉彎半徑【的多 輻射半圓形狀,且r小於中央半徑R,R/r是約從2至。 藉由使用磁場增強反應器,可以增強形成於電漿區 經濟部智慧財產局員工消費合作社印製 、發明説明( 中的電漿,其磁摄$ 1_ 產生益(圖未顯示)就像一永久的磁鐵或 電磁線圈,在雷雖 、 %來區域中使用供應一磁場以增加電漿的密 度與均勻度。承社 ^ 佳地,磁場包含一具有平行於基板平面之 %射狀場軸的磁· P . 野,參考1989年六月27日所發佈於美國 專利第4,842 683 %认& ' ’ 戒的私述。在反應室中的磁場應充分強 大以增加電漿Φ职& 、 y成離子的密度,並充分均勻地減少如 CMOS閘特徵士古+, 无电抽失,通常於基板表面量測的磁場強 度約少於㈣高斯,典型的從10至100高斯,大部分從 10至30向斯。 除了感應線圈115夕卜更有製程電極能加速或供給在 反應至中電漿離子的能量,包含形成在製程反應室3〇 〈艢的罘-電極12〇之製程電極,如反應室之頂篷”與/ 或側壁4)。第-電極120以電容耦合至基板25下之第二 ” 电極私壓供應器1 5 5供應一射頻電位以維持第 “亟120與第二電125與另一有關的不同電位,射頻 電壓之頻率應用至感應線圈115大約在5〇κΗζ至6〇邮, 更典型的為13.56MHz’射頻電壓之功率應用 在100至5000瓦特。 大、々 密閉反應室30包含一個或多個提供不同功能的陶瓷 表面,例如,一較佳實施例中,製程反應室㈣45, * 55塗上一陶瓷物質,如硼化碳、硼化氮、矽化物、氧化矽、 石夕化碳、或氮化石夕以保護牆避免在特別飿刻氣體合成物中 的化學侵触。例如,硼化碳在添加氣素氣體環境中保護反 應室的側壁45避免侵蝕是有效的。如另一例子,藍寶石1氧 第15頁 (請先閱讀背面之注意事項再APR本頁) -裝- 訂 線 ^υ〇υΐ9
發明説明( 經濟部智慧財產局員工消費合作社印製 化鋁)氣體分佈板能被用來釋放 ^ 轧體至反應室30中, 备反應室包含陶瓷表面,難以 姓刻殘餘物,因為其能 與反應罜3 0之陶瓷表面反庫纟 忍(例如,整體陶瓷部分135之 表面具有高度易反應的表面作用 丨F用群集),如氧化鋁、氮化 銘、矽化物、與氧化矽陶资矣 / U纪表面。例如,當氧化鋁或氮化 銘暴露於氧氣或大氣中以形成 7风Ai-OH义表面作用群集 時’與殘餘物起化學反應形成扁虛 々成在反應罜表面或成份中的硬 黏塗層。 在製程反應室30中另_有效的陶资表面是整體陶资 邵分U5具有-陶資接受表面以於其上接受—基板25,合 通的陶资物質包含一個或多個氧化館、氮化叙、硼化碳、 :化氮、石夕化物、氧切、石夕化碳、梦化氮、與氧化致。 第二電極125嵌於陶瓷部分135中使得陶瓷物質完全環繞 在第二電極以形成單一的整體陶资部分,第二電極125 = :-電性導體金屬懷,如鹄、艇、或銷。關於被嵌的 第二電極125為一"猛烈"的射頻電位所供應之導體,在反 應室30中的其他電極維持在不同的電位,包含電性接地 或浮動電位。因為被嵌在單一的陶瓷部分丨3 5,所以第二 電極125不必以額外的絕緣擋板來對反應室3〇做電性絕 緣,因此減少在第二電極125與接地反應室的牆45,5〇 之間的寄生電容阻抗負載。第二電極丨25亦供應一靜電的 握持器(chuck) 145,其產生一從靜電的支持基板25至陶瓷 部分135的接受表面之靜電電荷,並使用一直流握持器電 壓經一電性導體1 5 0插至陶瓷部分1 3 5中以連接至第二電 第16頁 Μ氏張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再本頁) •裝_ 訂 線 ——11--1 I三_==| I · 506019 五、發明説明() 極 125。 弟一電極120與第二電極125以包含一射頻電壓源 160之電極電壓供應器155提供一電漿產生射頻電壓至第 一电極125,電性偏壓相應至另一第二電極且—直 流電壓源165提供一握持器電壓(chucking v〇ltage)至電極 125。射頻電壓源160產生一射頻產生電壓,其^ U.56MHZ至彻ΚΗζ之—或多個料,以於反應室^中 形成-電容性輕合電漿。供應至電極125之射頻偏壓電、云 功率典型地約從50至3_瓦特。一分離直流電壓供應: 電極⑵以形成基板25至握持胃145之靜電電荷,射 功率耦合至一橋接電路與電子滤波器以提供直‘ 功率於電極1 2 5。 、 蝕刻與清理製葙 綾濟部智慧財產局gr Tf消費合作社印製 蝕刻反應室3〇的操作以姓刻-或多層的基板2 且同步的清理蝕刻反應室。基, 物質,如包含複數層”化物㈣典相半導體 化矽的底層可以當作—M〇s電Θ ό 〃 Μ如’-氧 化,上層是結合…“I與多晶的層’二氧 板25上之金屬-化物層包含轉、:、:=’在基 多晶.層是位於金屬卿層的下…::— 方。如光阻層、二氧化 …切層的上 形丄 化發硬遮罩之圖案仆、洽罢成 1成於練25上。在遮罩層間基 案化遮罩層 形成本發明特徵之—,例如,"*分被蝕刻以 接觸電洞用以製造MOS電
經濟部智慧財產局員工消費合作社印製 田曰體的閘h j, a . 安,夕 %極;^卵矽以典型的使用閘極電極連接此圖 衣二層金屬架構的介層被用於電性連接二或多個由氧化 H氮切I緣的導電層。另—例子中,基板包含一氮化 矽層或氧化矽層於一矽(摻雜或不摻雜)基板上。 為疋成本發明的製程,使用一機械手臂i 7〇將基板25 仗負载1:轉換反應室(1〇acM〇ck transfer chamber)經由 “农閥I私至反應室3 〇中,具有延伸經過握持器1 4 5之 舉起手指狀的組合,以由握持器145收回或取下基板25 (圖未顯示)。機械手臂17〇置放基板25於舉起手指(圖未 顯示)之頂端,藉由氣壓式舉起裝置180舉起以延伸約離 握持器145表面上方2至5公分。此氣壓式裝置是在電腦 系統的控制之下,放下基板25於靜電握持器145之上, 且於握持器中經由孔洞1 75供應氦氣以控制基板25的溫 度。 製程氣體包含經由氣體排氣口 85傳入反應室3〇中的 姓刻劑氣體用以蝕刻基板’反應室典型地保持一壓力範圍 約從〇·1至4〇〇mTorr,用以蝕刻在基板25上各層的合適 雀虫刻氣體’包含例如HC卜Bcl3、HBr、Br2、C12、ccl4、 SiCl4、SF6、F、NF3、HF、CF3、CF4、CH3F、C2H2F2、 C2H4F6、C2F6、c3F8、C4F8、C2HF5、C4Hi。、CF2C12、CFC13、 〇2、N2、He ’與其混合物。選擇蝕刻劑氣體以提供高姓刻 率’與姓刻特別層的高選擇性蝕刻,當連續蝕刻多重層, 第一、第二、第三層等等,蝕刻劑氣體的組成連續地傳入 反應室以I虫刻每一特定層。依據第2圖,電漿從使用電漿 第18頁 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再本頁) -裝· 訂 線 -j三二…1 ........... A7
產生器110的蝕刻劑氣體中以電感性與/或電容性耦人於 量至反Μ 30中來增強能量。例如,藉由對包圍反= 3〇的感應天線i 15施以射頻電流,並供應一射頻偏壓至電 極120,125。在所施予電場中姓刻劑氣體被離子化以形成 料與中子,其用以㈣基25的各層,以形成揮發性 氣體,並將其由反應室30排出。 本發明的製程允許蝕刻基板25上的一或更多層,並 在姓刻製程中更佳地以同步清理電衆姓刻反應室3〇:而不 停止触刻製程。在一或更多的蝕刻製程階段中,清理氣體 以選擇容積流率被加至蝕刻劑氣體中使得蝕刻殘餘物形 成於任何-個姓刻階段;或者在姓刻製程中形成於所有钱 刻階段的殘餘物能實際完全地被移除。更佳地,㈣劑氣 體包含Cl2、N2、〇2、HBr4 He_〇2的其中_種或更多種; 清理氣體包含nf3、cf4或sf6的其中一種或更多種。可 以發現的是這些氣體的組成提供單一與預期之外的蝕刻 與清理性能。 x 清理氣體與蝕刻劑氣體的容積流率被選以從處理至 y 2 000片之基板25中移去所產生蝕刻殘餘物,更可以至 / 3 000片 < 基板,在基板的製程中沒有完成一分離的清 理步驟以凊理反應室3 〇。一合適的清理氣體與蝕刻劑氣體 的容積流率約從丨:2〇至丨:丨,更可從丨:1〇至2 ·· 3, 最佳的約為2 : 3 ’可以發現的是這些清理氣體與姓刻劑氣 體的容積流率,在所有反應室表面45,50,55上的蝕刻 殘餘物將會被實質地移去而不會侵蝕反應室表面。此外, 第19頁
經濟部智慧財產局員工消費合作社印製 五、發明説明( 可以意外的發現反應室表面在蝕刻劑與清理氣體的結合 V風中’被清理與調節,而無需另外的反應室調整以及調 即製程。結合蝕刻基板25與同時從反應室30表面移去蝕 刻殘餘物(沒有終止蝕刻製程或須要分離清理與有條件的 步騾),提供從基板至基板之製程間穩定度顯著改善並增 加製程生產率。 在本發明的實施例中,使用包含CL,N2,CF4與非必 眉的〇2製程氣體的電漿蝕刻以蝕刻包含金屬矽化物或矽 (亦即多晶或單晶矽)的基板2 5,選擇一容積流率以移去所 有在姓刻製程完成後,形成於反應室表面的蝕刻殘餘物, 更佳地’選擇Ch,N2 ’ CF4之容積流率以移去所有在反 應室30中至少钱刻2〇〇〇片基板25所產生的蝕刻殘餘物, 而不用一個單獨反應室清理的步驟,eh: (C12+n2)的容積 流率的比例約從1 : 20至1 ·· 1。在另一實施例中,製程氣 體包含Cl2、N2與SF6,較佳的sf6 ·· (cl2+N2)的容積流率 的比例約從i : 2 0至i ·· i。又在另一實施例中,合適的製 私氣體包含Ch、N2與NF3 ’較佳的NF3 : (Cl2 + N2)的容積 流率的比例約從1 ·· 20至1 ·· 1。 當基板2 5仍被蝕刻劑氣體蝕刻時,一般清理氣體在 短暫的時間被導入蚀刻反應室3 〇中,以處理並調整反 應A表面。包含蚀刻劑氣體的製程氣體被導入反應室3 〇 中’製程氣體的組成被調整到所希望的蝕刻劑氣體的組 成以蚀刻一基板2 5上由一種或多種物質組成的各層。 在姓刻製程中,當基板仍被蝕刻時,短暫衝出的清理氣體 ____ 第20頁 本紙張尺度適用巾國g|家標準(CNS ) M規格(Mm97公釐 (請先閱讀背面之注意事項再本頁) -裝· 、·ιτ 線 A7 B7 五、發明説明() 被加至製程氣體中。例如,短暫衝出的清理氣體被加至蝕 刻劑氣體中,以用於|虫刻一多晶珍層(在多晶珍層的主要 蚀刻之間)並在多晶石夕層過度蚀刻步驟之前結束。一般活 性化清理氣體的提供大量高度反應的清理物種,其可以在 剛產生的蝕刻殘餘物化學性貼合於反應室表面之前,將其 自反應室表面移去。第一 I虫刻劑氣體之組成能產生第一 I虫 刻殘餘物,其能微弱地貼合反應室表面或具有化學性的柔 和與易反應的組成;然而,當第二蝕刻劑氣體傳入反應室 中時’第二蝕刻劑氣體與第一蝕刻殘餘物起反應並產生一 高黏著性的殘餘物。在這些製程中,在蝕刻製程的第一階 段中,短暫產生的一股清理氣體傳入反應室中,在其藉由 暴露於另一化學性氣體組成以改變其化學組成前移去新 產生的餘刻殘餘物。從反應室3 0中所產生的高流率與快 速移動的清理氣體,亦可減少清理氣體之結合,藉而形成 其他的化學物種以侵触反應室表面與組成元件之可能 性。這種機制更有助於以一股高流率的氣體將反應副產物 從反應室3 0中流出,更佳地,一股活性化清理氣體以相 當於至少4 0至2 0 0 s c c m的流率F r流入蚀刻反應室中,|虫 刻反應室30具有一 40000cm3的容積以及約5至1 00秒的 時間清理蝕刻殘餘物,大體上沒有侵蝕反應室3 0的籍 45,50 ’ 55與組成物。 在製程完成之後,使用合適的解握持裝置以將基板25 解除握持,氣壓式舉起裝置180經靜電握持器145舉起栓 子以提高基板25,並且在基板25與握持器之間差入一機 第21頁 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再,HI本頁) 裝· 線 經濟部智慧財產局8工消費合作社印製 I五 經濟部智慧財產局員工消費合作社印製 發明説明( 械手臂以舉起基板離開栓子。最後,舉起栓子縮進㈣器 145,機械手臂把I基板25移出㈣反應室30並移入 一轉換反應室中以維持在真空環境中。 · 多重I虫刻階段 就本發明的另一態樣而言,如第3圖之說明,多重階 段被用於在基板上敍刻多重層,在一或更多的多重钕刻步 驟中,清理氣體至少在其中一個階段中被傳入,以移去沉 積在反應室表面的蝕刻殘餘物。此製程對蝕刻是有用的, 例如,包含石夕化鎢的多重層及多晶碎層之多晶石夕化金屬結 構,或独刻氮化石夕層,或在石夕晶圓上之二氧化梦層。雖然 本製程的說明如㈣這些層的敘述,其應了解本製程被用 於I虫刻其他層如金屬層血-g△ 碉曰,、一虱化矽層,因此,本製程不應 只限於此處所提供之實施例與說明方法。 多重階段製程包含複數個蝕刻階段,在第一個蝕刻階 段中,帛一個姓刻齊]氣體被導入製程反應t 3〇巾,並且 電漿由第-蚀刻劑氣體中生成,以姓刻基25上的第一 層(例如’矽化鎢層),第一或矽化鎢層典型地使用包含氯 氣的第-蚀刻劑製程氣體,例如氯與氮的混合物,與非必 須的氧氣。更佳地,氯與氮的容積流率的比例約從1 : 3 至1 : 1。最好是約2 : 3。反應室3Q的壓力維持在i至 1〇mTc^’感應線圈源極功率設在2⑽i綱瓦特,製程 電極射頻偏壓功率設在2 0至1 2 0瓦特。 在第二個敍刻階段中,第二個钱刻劑氣體傳入製程反 請 閲 讀 背 ιδ 5 意 事 項 再 ,裝 頁 訂 本紙張尺度適用中國國家標準(CNS ) Α4規格(210x 297公釐) λ發明説明( 怎室30中’形成電漿在以第一層已完成蝕刻後,蝕列第 二層(例如’在秒化鶴層下的多晶珍層),在姓刻步帮: 隨著蝕刻劑氣體的改變,筮- (請先閲讀背面之注意事項再本頁) J f又夂罘一或多晶矽層能以更多的餘刻 製程步驟蚀刻。合適的第二姓刻劑氣體的组成包含以從^ 至1 2 0 s c c m流率的c K,命。Λ 2轉80至240sccm流率的HBr,與 2至1〇SCCm流率的He_〇2,反應室的壓力從乃至 2〇〇Π1Τ〇ΓΓ。電流源功率供給感應天線U5约從200至8〇〇 瓦特,射頻偏壓功率供給製程電極12〇,125約從2〇至12〇 瓦特。 經濟部智慧財產局員工消費合作社印製 在一或更多的第一與第二階段中,選擇清理氣體之容 積流率被加至蝕刻劑氣體中使得形成於任何一個階段之 $刻殘餘物,在餘刻製程中幾乎能完全地被移除。形成於 弟-與第二階段中的蝕刻殘餘物包含自素、碳、氫、與氧 聚合物的有機混合物。當蝕刻含矽層時蝕刻殘餘物主要包 含在蝕刻基板25上的矽化鎢與多晶矽層時所形成的二氧 化矽的混合物。然而,蝕刻殘餘物的組成從第一至第二層 可以有不同,第一蝕刻殘餘物包含更多的w與si物種, 第二蝕刻殘餘物包含更多的Si與〇物種,因此在第一或 第二階段中,以合適的容積率之清理氣體加至製程氣體 中,以移去第一蝕刻階段中蝕刻矽化鎢層時所產生的第一 蝕刻殘餘物,同時移去第二蝕刻階段中,蝕刻多晶矽層時 所產生的第二蝕刻殘餘物,所以發現合適的清理氣體與蝕 刻劑氣體的谷積流率比值是約從1 : 1 〇至2 : 3 ,例如第一 触刻劑氣體的流率是約80sccm的Ch與40sccm的N2,而 第23頁 本紙張尺度適用中國國家標準( CNS ) A4規格(210χ297公釐) ----- M36019 A7 ΓΙ —丨 -I ............ - ---------- 發明説明() ^ 被加入的清理氣體是包含8〇Sccm的π。以提供清理氣體 與第一蝕刻劑氣體的容積率約2 : 3。所以發現這些清理氣 體與# 劑氣體的容積流率比中,所有在反應室表面的蚀 刻殘餘物(形成在蝕刻製程的不同階段之中)將被移去而不 致於侵蝕反應罜表面。此外,可以意外的發現以蝕刻與清 理氣體的結合步驟來清理與調整反應室表面,而無需分開 以一調節製程來處理。 在本發明的反應室處理中,可以發現在蝕刻製程中可 均勻地移去蝕刻殘餘物,和其厚度或化學計量無關,先前 的清理製程技術中,特別是以操作員完成的清理製程,通 常無法從反應室表面均勻地清理與移除所形成的蝕刻殘 餘物。在反應室表面的蝕刻沉積物之增長,導致在反應室 中蝕刻沉積的剝落與蝕刻基板的污染,經由均勻地移去所 有反應罜表面上的蝕刻殘餘物,此類污染與導致的基板U 良率降低’都可以被大量地減到最少。 經濟部智慧財產局8工消費合作社印製 相較於傳統同氣室電漿清理步驟因為在蝕刻反應室 中所減少的電漿之能量,此清理氣體對反應室造成的侵蝕 性損害較少,被施以高功率的電漿被用於移去殘餘物沉 積,亦導致反應室表面與組成物的大量侵蝕,所以這對習 知技術之製程是困難的。藉由減少取代反應室與組成物的 需求’操作反應室30與每片基板25所花費的成本將顯著 減少。此外,被活化的清理氣體能在蝕刻基板25時清理 内部反應室30,比停止製程以溼洗反應室牆與組成物的方 式更有效,因而增加蝕刻生產率並更減少每片基板的 506019
費。清理氣體被預期至少增加反應室2個因數(fact〇r)的生 命週期,並藉由減少在基板上的剥落蝕刻劑副產物之沉積 以增加基板的良率。 清理製程從反應罜30表面移去化學性貼合的蝕刻劑 沉積,並恢復初始反應性與這些表面的表面函數群,而在 清理製私後不須對反應室進行調整製程。對清理強力貼合 或對反應室表面起化學反應的蝕刻殘餘物而言,此清理與 所論述的製程是特別的有用。清理氣體能有效的處理與重 建這些陶瓷表面,以提供表面的化學性質,與對蝕刻製程 有化學性相容的表面函數群,在反應室3 〇中取決陶瓷表 面供更夕可再生性的蝕刻特性,如提供溼清理或RIE清 理製程來替代反應室的清理製程,因此在反應室3 〇中·完 成顯著改良的可再生性的蝕刻製程是高度被關注的。 實例1 jj—有清理反應室之連續蝕刻3000片晶 依據本發明證明下列的實例之蝕刻與清理製程是有 效的’在這些實例中,從 Applied Materials,Santa Clara,
California的商業可用性中,一矽Dps製程反應室於基板 上使用I虫刻多晶矽層。在這些實例中,基板包含一底層 1〇〇〇埃的Si〇2之矽晶圓,並以包含300〇埃的多晶矽與 3 000埃的WSix之矽化物覆蓋。在蝕刻反應室3〇中連續 超過3 0 ο 〇片晶圓的蝕刻,有時量測蝕刻特性的特徵、蝕 刻率、與蝕刻選擇率。 第25頁 (請先閱讀背面之注意事項再.In本頁) •裝. 線 經濟部智慧財產局員工消費合作社印製 罵υΐ9 Α7 Β7 五、發明説明( 經濟部智慧財產局員工消費合作社印製 矽化鎢蝕刻製程使用第一蝕刻劑氣體的流率約 SOsccm的Cl2與40sccm的&,被加的清理氣體包含 80seem的CF4,楗供清理氣體的容積率與第一蝕刻劑氣體 勺2 3反笟主30的壓力維持在4mTorr,感應線圈源極 功率設在600瓦特,製程電極射頻偏壓功率設在瓦特。 基板25上的多晶矽層以二個階段蝕刻,包含一主要 的姓刻階段與”過度蚀刻”階段,為了停止姓刻製程而不經 基板底層之二氧化矽的蝕刻,主要的蝕刻階段在多晶矽層 完全蝕刻穿透前被立即停止,過度蝕刻階段完成蝕刻穿透 多晶石夕層的殘餘物部分。在過度㈣階段中減少包含函素 的蝕刻劑氣體,以獲得較慢與更可控制的蝕刻比例。例 如,在王要的|虫刻階段中,姓刻多晶石夕層以使用一蚀刻劑 氣體包含6〇SCCm流率的Ch,與丨他咖流率的ΗβΓ,與 5sccm流率的He-Oy電流源功率供給感應天線115為48〇 瓦特,射頻偏壓功率供給製程電極12〇, 125為M瓦特。 在過度蝕刻階段中,蝕刻劑氣體包含9〇sccm流率的, 與5Sccm流率的n ; 5〇mT〇rr的反應室壓力;4⑽瓦 特的電流源功率;與丨4〇瓦特的射頻偏壓功率。 ^在第一個製程階段中,非預期的發現以蝕刻劑與清理 =體的結合,有關多晶矽的矽化鎢之蝕刻,提供非預期之 高飯刻率與钱刻選擇比。第4a圖顯示在餘刻反應室中對 連績扇片晶圓製程其碎化鎢與多晶砍的㈣率。在處 k如此大量的晶圓後,因為不同的蝕刻率與姓刻選擇 比與從反應1表面產生沉積的蝕刻殘餘物之較高微粒污 第26頁 本紙張尺度適 (請先閱讀背面之注意事項再IPS本頁) 訂 線 506019 A7 B7 五、發明説明( 經濟部智慧財產局員工消費合作社印製 染程度’在處理200至300片晶圓後,先前的蝕刻製程技 術須要清理並考量此反應室。相對之下,在沒有清理反腐 室之連續蝕刻3000片晶圓間,本蝕刻與同步清理製程提 供一致的高度與均勻度之3000埃/分蝕刻率的硬化鹤,且 約1.7%蝕刻率的差異,同樣地,多晶矽的蝕刻率維持在約 23 50埃/分。 可以發現的是處理超過3000片晶圓後,蝕刻與清理 氣體亦提供均勻與一致的蝕刻比例以蝕刻矽化鎢或多曰曰 石夕,每3000片晶圓製程週期就量測一矽化鎢或多晶石夕的 蝕刻率均勻度。矽化鎢的蝕刻率變化小於8%,多晶矽的 蝕刻率變化小於2%。此外,蝕刻劑與清理氣體提供i ·2 的蝕刻選擇率以蝕刻有關多晶矽的矽化鎢,其至少比先前 的從〇·6至〇·8蝕刻選擇率的矽化物蝕刻製程技術高出 5〇%。例如,第4b圖顯示對3〇〇〇片晶圓而言矽化鎢與多 晶矽的蝕刻選擇率範圍之平均约為13 :丨。此外,對3〇⑽ 片晶圓蝕刻,其晶圓至晶圓間的蝕刻選擇率之改變約僅 0.02 〇 在第二個製程階段中,更可以發現存在一多晶矽與二 氧化石夕的高!虫刻率與餘刻選擇,並1對3〇〇〇彳晶圓餘 刻的多晶石夕I虫刻比例亦相對的均勻。如第5a圖顯示,存 在一 2204埃/分蝕刻率的多晶矽,並且存在一非常慢的168 埃/分蝕刻率的二氧化矽。這些結果提供多晶矽與氧化矽一 1·3: ^之非常高平均的钱刻選擇比,意即在多綱之上 層韻刻m^ _氧化碎層的下層—點也沒有被姓刻 請 閲 讀- 背 意 事 項 再 m 本 頁 裝 訂 本紙張尺度適用中國國家標準(CNS ) A4規格( 第27頁 川 6019 五、發明説明( 經濟部智慧財產局R工消費合作ti印製 至J,南蚀刻選擇率防止過度兹刻盘# 、大破在電性絕緣二氧化 層下層’帛5b圖更顯示在基板上’蚀刻多晶妙層之穩定 度與均句度之蝕刻比例,在全部3〇〇〇片晶圓製程之間, 多晶㈣過度㈣率維持在約1 855埃/分,且多晶#的過 度蝕刻率之均句度少於6%。 如第6圖所示,對於晶圓的蝕刻特徵之臨界尺寸變化 亦被量測,普通的蝕刻製程通常不會維持臨界尺寸,=蝕 刻特徵之重新定義與預期尺寸被用於決定在積體電路設 計中蝕刻特徵之電子特性,在較新的積體電路中,接觸插 塞的直徑與連接線的線寬更小於0.25微米,以容納更高的 電路密度。因為這些特徵的電阻與蝕刻特徵的剖面區域成 比例’從特徵至特徵沒有變化以維持一致與均勾的尺寸是 重要的,錐形剖面與側面之不同的特徵空間功能已不再被 這些積體電路接受。 臨界尺寸在阻抗特徵的寬度w r與姓刻特徵的寬度w e 之間來量測其比例或差異’越密合蝕刻特徵的寬度到阻抗 特徵的寬度,則蝕刻特徵的電性更可預期與可靠。在本發 明中,如第6圖所示,基板密集的封裝特徵部分(更接近 蝕刻特徵),顯示一臨界尺寸約0.4微米,且臨界尺寸偏離 約0.04微米,臨界尺寸的3 cj標準誤差,從晶圓至晶圓間 約0.009微米,亦即非常的低並且表示其經由基板的均勻 與一致的蝕刻特性。 在反應室蝕刻超過3 0 0 0片晶圓中,從蝕刻殘餘物中 剝落的污染物微粒形成在反應室表面上,例如,在完成蚀 (請先閱讀背面之注意事項再iPF本頁} -裝· 訂· 線· 第28頁 本紙張尺度適用中國國家標準(CNS ) A4規格(nox297公釐) __ 五、發明説明() 刻25片晶圓後,以預定在一間隔時間量測。當使用 TENCOR Surfscan 6200時,微粒污染物層具有3rnin的邊 緣不相容,第7圖所示微粒污染物的平均計數,當製程氣 體包含 80sccm 的 CF4’ 8〇sccm 的 Cl2 與 40sccm 的 N2 流 入反應室3 0中,微粒污染物的計數平均約8.8,比加權計 數約維持在60微粒子之普通的蝕刻製程技術之少5至6 次。第7b圖顯示對製程氣體電漿之8〇sccm的CF4, 80sccm 的Ch與40seem的N2的加權污染物微粒層;平均計數約 維持在5.7,比先前之製程技術少1〇次。除了加權微粒子 计數之外’蚀刻反應室表面對餘刻劑殘餘物的沉積做外表 上的檢查’發現即使超過3000片晶圓的蝕刻,反應室表 面依然清新乾淨沒有蝕刻殘餘物遺留在反應室表面。更發 現即使在I虫刻3 000片晶圓後,完全沒有乾式或溼式清理 製程須要被清理、調節製程的反應室牆,在蝕刻如此這麼 多的晶圓下’而沒有清理反應室的先前製程技術約需3至 10次。 實例2至7 經濟部智慧財產局員工消費合作社印製 也餘到選擇率與兹刻比例的實仿,1 在這些實例中,矽晶圓具有Si〇2,多晶矽,wsix與 抗蝕層等的相繼層,被使用cl2_He-〇2的混合氣體與加入 或不加入的清理氣體CF4蝕刻。根據蝕刻選擇率量測的結 果,WSix與多晶矽蝕刻比例,表1顯示使用基本線 (baseline)製程與加入π*製程的考量。在所有的 第29頁 506019 A7 B7 五、發明説明( 蝕刻劑氣體包含l〇0sccm的Ch,額外的蝕刻與清理氣體 如表1所列,包括He_〇2,與非必須的CF4。反應室的壓 力維持在4至9mTorr,300或5〇〇瓦特的電流源功率,與 60瓦特的射頻偏壓功率製程電極,基板的溫度為5(rc。 蝕刻特徵的特性、蝕刻比例、與蝕刻選擇率以使用普通的 S E Μ方法量測。 表1
表1顯示在實例3與7中結合Cl2,He-〇2與CF4 ’對 :關抗蚀層…鶏之触刻’提供非預期的高姓刻選擇 率’约大# U。其㈣選擇率約比實例2與6中普通只 =用Cl2或Ch與He〇2的餘刻製程高約,其約〇 8直 表1更顯示在實例3,5與7中結合Ch,He,與cF4, (請先閱讀背面μ注意事項本寶) ir ’線 經濟部智慧財產局8工消費合作社印製
發明説明( 經濟部智慧財產局員工消費合作社印製 對有關抗蝕層的矽化鎢之蝕 率, k供非預期的高蝕刻選擇 。其蝕刻選擇率約比實例2與6中普通σ 使用Cl2或Cl2與He〇2的姓 〇9。 衣狂冋約50%,其約〇.8至 先前的製程技術亦導致在基板表面之wsix或多晶梦 的蝕刻比例顯著的變化,相對地, 一 Λ ^ ^ V hh 本蝕刻舁h理氣體提供 t基板上㈣化鶴與多晶秒的均勾與-致的钱刻比例。如 表1顯-,實例2與6中…Six層婦 變化小於2%(實例5),相 」反的 祁對於句通製程的蝕刻差異 至3.5%。實例2與6中,在多㈢ 、、’’ 、 在多卵矽層蝕刻比例均勻度的 化小於2.2 % (實例3 ),相對於益 、 ”於印通製程的蝕刻差異高約2·6 至3%。再這些實例中,WSi ^ ^ ^ ^ , 曰”夕日曰矽層蝕刻比例均勻 度疋k下式所決足: (最大蝕刻比例一最小蝕刻比 !00〇/〇 …2X千均蚀刻比例)X 蝕刻比例是從SEM側面圖的wsi 徵的多重點中所量測。 I、多…的蚀刻特 實例8 在此實例中’在二氧化,夕層34上的氮化珍層3 姓刻以形成遮罩(先前底層硬36的淺渠溝3“邑 1£與ld圖所示,當同步清反應室牆時,ch,〇2,與L 的電漿被用Μ刻氮切層32。淺渠溝絕緣體包含形6 淺渠溝於矽基板3 6上,並埴涑结y风 填滿氧化矽與多晶矽以絕緣形 第31貫 本紙張尺度適用中國國家標準(CNS ) A4規格(210^^5^ (請先閱讀背面之注意事項再本頁) -裝· 訂 線 f万、相同矽基板(圖未顯示)上之二個或多個相鄰的元件。 母形成這些氮化矽層32之一層渠溝,就沉積覆蓋在矽基 板36上的—氧化矽層34,圖形使用普通的微影技術,並 ^本發明的製程姓刻形成氮化秒遮罩。姓刻梦基板以形成 =渠溝,並填滿氧化矽與多晶矽,之後,使用標準的cM〇s 製程方法形成mosfet元件。 更佳地,選擇Ch : 〇2 : SF6的容積流率以提供蝕刻氮 匕矽時對一氧化矽的高蝕刻選擇比,並且大量地移去在反 尤至中至少蝕刻2000片晶圓所產生的蝕刻劑殘餘物,而 、用執行單獨的清理反應室之清理步驟。更可以發現維持 為氮切ϋ刻比例,對連續㈣大量的晶圓而t,氮化石夕 '虫刻比例疋相當的肖勻。高氮化石夕與二氧化石夕的姓刻選擇 比例意即在氮化矽的上層蝕刻期間,在二氧化矽層的下層 夜有完成的蝕刻。高蝕刻選擇比例預期避免過度蝕刻與,, 穿透,’在電性絕緣二氧化矽層的下層。 本發明描述某種更佳變化的參考,然而,其他的變化 是可能的。例如,本發明處理與清理製程被用於處理反應 室或其他應用,如一般技藝中顯而易I。例如,應用此製 2,在此領域中如一般技藝中顯而易見,以處理濺鍍反應 室,離子植入反應室,或沉積反應室,或結合其他清理製 裎。因此,以上所述僅為本發明之較佳實施例而已,並非 用以限定本發明之申請專利範圍;凡其它未脫離本發明所 揭示之精神下所完成之等效改變或修钟,均應包含在下述 之申請專利範圍内。 第32頁 國家標準(CNS ) Α4規格(210 x297公董)

Claims (1)

  1. 506019 A8 弟斤私疏專利案/年左月修正
    六 申請專利. 經濟部智慧財產局員工消費合作社印製
    年月〇里?.補六; · 一*•-一 ‘ 、* 'V, V. ? 一種同步顧刻基板典清淦反應室ϋ法,該方法至少包 含·· (a) 放置基板於反應室中,該基板為含矽基板; (b) 從製程氣體傳入該反應室所形成的電漿,同步地 蝕刻該基板與清理在該反應室表面的蝕刻殘餘物,其中 該製程氣體至少含一種含函素之氣體,且包含(i)蚀刻該 基板的蝕刻劑氣體以沉積在該反應室表面之該蝕刻殘 餘物,與(Π)清理氣體以清理沉積在該反應室表面之該 蝕刻殘餘物,選擇該清理氣體與該蝕刻劑氣體的容積流 率使蝕刻殘餘物實質地在完成該蝕刻製程後立即從該 反應室表面上完全地移去。 it. ry 本s 2.如申請專利範圍第1項所述之方法,其中上述之蚀刻劑 氣體包含一或更多的CI2、N2、〇2、HBr或He-〇2;其 中上述之清理氣體包含一或更多的NF3、CF4或SF6。 3 ·如申請專利範圍第1項所述之方法,其中上述之清理氣 體與該蝕刻劑氣體的該容積流率被選以從該反應室處 理至少2000片之該基板中移去所產生該蝕刻殘餘物, 而沒有完成一分離的清理步驟以清理該反應室。 4·如申請專利範圍第1項所述之方法,其中上述之清理氣 體與該蝕刻劑氣體的該容積流率之比例從1: 20至1 : P 第33頁 (請先閱讀背面之注意事項再填寫本頁)
    本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 506019 8 8 8 8 1 ABCD一 述之清理氣 申請專利範圍 5.如申請專利範圍第4項所述之方法,其中上述之清理氣 體與該蝕刻劑氣體的該容積流率之比例從1:10至2:3。 6.如申請專利範圍第1項所述 < 方法,其中上 體傳入該反應室5至秒° 7. 如申請專利範圍第1項所述之方法’其中上述之清理氣 體傳入該反應室至少以4〇至2〇〇sccm的流率Fr流入 40000cm3的該反應室中° 8, —種當同步地清理蝕刻反應室時對基板上金屬碎化物、 氮化矽、多晶矽、或單一結晶矽之餘刻的方法,談方法 至少包含: (a) 放置該基板於該反應室中,該基板為含矽基板; 及 (b) 從一或更多的該製程氣體傳入該蝕刻反應室所形 成的電漿,以蝕刻在該基板之金屬矽化物、多晶矽、或 單一結晶矽化物,並同步地清理在該蝕刻反應室表面之 該蝕刻殘餘物,該製程氣體至少含一種含鹵素之氣體, 且包含(i)蝕刻該基板的蝕刻劑氣體以沉積在該反應室 表面之二氧化矽,與(ii)移去該二氧化矽蚀刻殘餘物之 清理氣體,選擇該清理氣體與該蝕刻劑氣體的容積流 率,使所有該二氧化矽蝕刻殘餘物實質地在完成該蝕刻 製程後立即從該蝕刻反應室表面上完全地移去。 第34頁 丨丨訂: (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 506019 ABCD
    經濟部智慧財產局員工消費合作社印製 申請專利範圍 9·如申請專利範圍第8項所述之方法,其中上述之蚀刻劑 氣體包含一或多的Cl2、N2、〇2、ΗΒι:或He- 02 ;其中 上述之清理氣體包含一或多的NF3、CF4或SF6。 10. 如申請專利範圍第8項所述之方法,其中上述之清理氣 體與該蚀刻劑氣體的該容積流率被選以從該反應室處 理至少2000片之該基板中移去所產生該钱刻殘餘物’ 而沒有完成一分離的清理步驟以清理該反應室° 11. 如申請專利範圍第8項所述之方法,其中上述之清理氣 體與該蝕刻劑氣體的該容積流率之比例從1: 20至1: 1。 12. 如申請專利範圍第8項所述之方法,其中上述之清理氣 體傳入該反應室5至100秒° 13. —種在反應室中蝕刻基板的方法,當同步地清理反應室 時,該方法至少包含: 放置具有第一與第二層之該基板於該反應室中’該 基板為含矽基板; 在第一個階段中,第一蚀刻劑氣賭傳入該蚀刻反應 室中,並從該第一蝕刻劑氣體中形成一電漿以蝕刻該第 一層; 在第二個階段中,第二蚀刻劑氣體傳入該蚀刻反應 室中,並從該第二蚀刻劑氣體中形成一電漿以蝕刻該第 第35頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ---------……餐................S (請先閲讀背面之注意事項再填寫本頁) 506019 A8 B8 C8 D8 申請專利範圍 二層;及 (請先閲讀背面之注意事項再填寫本頁) 在第一或第二階段中,加入一清理氣體至第一或第 二蝕刻劑氣體以形成一清理電漿,其中氣體至少含一種 含鹵素之氣體,以移去所有在第一或第二階段中,在蝕 刻反應室表面之該二氧化矽蝕刻殘餘物。 14·如申請專利範圍第13項所述之方法,其中上述之第一 與第二蚀刻劑氣體包含一或多的Cl2、N2、02、HBr或 He-02 ;其中上述之清理氣體包含一或多的NF3、CF4 或 SF6。 1 5 ·如申請專利範圍第1 3項所述之方法,其中上述之清理 氣體與該蚀刻劑氣體的該容積流率被選以從該反應室 處理至少2000片之該基板中移去所產生該蝕刻殘餘 物,而沒有完成一分離的清理步騾以清理該反應室。 16.如申請專利範圍第13項所述之方法,其中上述之清理 氣體與該蝕刻劑氣體的該容積流率之比例從 1 : 20至 1 : 1 〇 經濟部智慧財產局員工消費合作社印製 1 7·如申請專利範圍第1 3項所述之方法,其中上述之清理 氣體傳入該反應室5至1 00秒。 18.—種製造半導體基板的方法,該方法至少包含: 第36頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 經濟部智慧財產局員工消費合作社印製 506019 A8 B8 C8 __ D8 六、申請專利範圍 放置一基板於製程反應室的製程區域中,該基板為 含矽基板; 傳入該製程區域之一或更多包含蝕刻該基板之蝕刻 劑氣體的製程氣體,其中氣體至少含一種含函素之氣 體’與從該製程氣體產生的電漿以蝕刻該基板;及 在蝕刻製程中,當基板仍被蝕刻時,衝出的清理氣 體被加至製程氣體中,該清理氣體提供一充分的時間, 清理氣體與蝕刻劑氣體的該容積流率充分的高,以藉由 製程氣體實質地反應與移去所有沉積的蚀刻殘餘物。 19·如申請專利範圍第18項所述之方法,其中上述之蝕刻 劑氣體包含一或多的Cl2、Ν2、〇2、ΗΒι:或He-〇2 ;其 中上述之清理氣體包含一或多的NF3、CF4或sf6。 20·如申請專利範圍第丨8項所述之方法,其中上述之清理 氣體與該蝕刻劑氣體的該容積流率之比例從1 : 20至 1 : 1 〇 21·如申請專利範圍第18項所述之方法,其中上述之清理 氣體傳入該反應室5至100秒。 22·如申請專利範圍第18項所述之方法,其中上述之衝出 的清理氣體傳入該製程反應室至少以40至2〇〇sccm的 流率FR流入40000cm3的該製程反應室中。 第37頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 爾丨 — — —Ί^-------« I......--------- (請先閱讀背面之注意事項再填寫本頁) 506019 A8 B8 C8 D8 六、申請專利範圍 23 · —種同步蝕刻基板與清理反應室之方法,該方法至少包 含: (a) 放置基板於反應室中,該基板為含矽基板;及 (b) 從製程氣體傳入該反應室所形成的電漿,蝕刻該 基板與同步地清理在該反應室表面的蝕刻殘餘物,該製 程氣體包含Cl2、N2與CF4,選擇容積流率在完成該蝕 刻製程後立即實質地移去形成在反應室表面之所有該 姓刻殘餘物。 24·如申請專利範圍第23項所述之方法,其中上述之Cl2、 N2與CF4的該容積流率被選以從該反應室處理至少 2 000片之該基板中移去所產生該蝕刻殘餘物,而沒有完 成一分離的清理步騾以清理該反應室。 25·如申請專利範圍第23項所述之方法,其中上述之CF4 : (Cl2 + N2)的該容積流率之比例從1 : 20至1 : 1。 26·—種同步蝕刻基板與清理反應室之方法,該方法至少包 含: (a) 放置基板於反應室中,該基板為含梦基板;及 (b) 從製程氣體傳入該反應室所形成的電漿’蝕刻該 基板與同步地清理在該反應室表面的蝕刻殘餘物,該製 程氣體包含Cl2、N2與CF6,選擇容積流率在完成該蝕 第38頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) ------------- (請先閲讀背面之注意事項再填寫本頁) ,訂· S 經濟部智慧財產局員工消費合作社印製 60 ο 5 9 六 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 — _ P8 __ __ 申請專利範圍 刻製程後立即實質地移去形成在反應室表面之所有該 蝕刻殘餘物。 27. 如申請專利範圍第26項所述之方法,其中上述之Ch、 N2與CF6的該容積流率被選以從該反應室處理至少 2000片之該基板中移去所產生該蚀刻殘餘物’而沒有完 成一分離的清理步驟以清理該反應室。 28. 如申請專利範圍第26項所述之方法,其中上述之CF6 : (Ch + N2)的該容積流率之比例從1 : 20至1 : 1 β 29. —種同步蝕刻基板與清理反應室之方法,該方法至少包 含: (a) 放置基板於反應室中,該基板為含梦基板;及 (b) 從製程氣體傳入該反應室所形成的電漿,蚀刻該 基板與同步地清理在該反應室表面的蝕刻殘餘物’該製 程氣體包含Cl2、N2與NF3,選擇容積流率在完成該蚀 刻製程後立即實質地移去形成在反應室表面之所有該 蚀刻殘餘物。 3 0.如申請專利範圍第29項所述之方法,其中上述之Ch、 N2與NF3的該容積流率被選以從該反應室處理至少 2000片之該基板中移去所產生該蝕刻殘餘物,而沒有完 成一分離的清理步驟以清理該.反應室。 第39頁 ...............壤......…亨————屢 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(2WX297公爱) 506019 ABCD 申請專利範圍 3 1·如申請專利範圍第29項所述之方法,其中上述之NF: (Cl2 + N2)的該容積流率之比例從1 : 20至1 : 1。 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第40頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)
TW087118554A 1997-11-12 1998-11-06 A method of simultaneously etching a substrate and cleaning a chamber TW506019B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/969,122 US6136211A (en) 1997-11-12 1997-11-12 Self-cleaning etch process

Publications (1)

Publication Number Publication Date
TW506019B true TW506019B (en) 2002-10-11

Family

ID=25515212

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087118554A TW506019B (en) 1997-11-12 1998-11-06 A method of simultaneously etching a substrate and cleaning a chamber

Country Status (6)

Country Link
US (2) US6136211A (zh)
EP (1) EP1029345A1 (zh)
JP (1) JP2001523044A (zh)
KR (1) KR100530246B1 (zh)
TW (1) TW506019B (zh)
WO (1) WO1999025015A1 (zh)

Families Citing this family (413)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
US6093655A (en) 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6235213B1 (en) 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
US6010967A (en) * 1998-05-22 2000-01-04 Micron Technology, Inc. Plasma etching methods
US6277759B1 (en) 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6242347B1 (en) 1998-09-30 2001-06-05 Applied Materials, Inc. Method for cleaning a process chamber
US6399516B1 (en) * 1998-10-30 2002-06-04 Massachusetts Institute Of Technology Plasma etch techniques for fabricating silicon structures from a substrate
US6374833B1 (en) * 1999-05-05 2002-04-23 Mosel Vitelic, Inc. Method of in situ reactive gas plasma treatment
EP2500941A3 (en) * 1999-06-02 2017-05-10 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6367413B1 (en) 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6431112B1 (en) * 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6808647B1 (en) * 1999-07-12 2004-10-26 Applied Materials Inc Methodologies to reduce process sensitivity to the chamber condition
US6318381B1 (en) * 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
FR2797715B1 (fr) * 1999-07-13 2006-08-25 Ibm Procede de gravure plasma d'une couche de polysilicium au traver d'une couche de si02 gravee
US6583065B1 (en) 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6358788B1 (en) * 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
JP4381526B2 (ja) * 1999-10-26 2009-12-09 東京エレクトロン株式会社 プラズマエッチング方法
JP2001135630A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
JP2001156045A (ja) 1999-11-26 2001-06-08 Kawasaki Steel Corp 半導体装置の製造方法および製造装置
US6759337B1 (en) * 1999-12-15 2004-07-06 Lsi Logic Corporation Process for etching a controllable thickness of oxide on an integrated circuit structure on a semiconductor substrate using nitrogen plasma and plasma and an rf bias applied to the substrate
US6869885B1 (en) * 1999-12-17 2005-03-22 Koninklijke Philips Electronics N.V. Method for a tungsten silicide etch
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6300251B1 (en) * 2000-02-10 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
JP2003523625A (ja) * 2000-02-18 2003-08-05 アプライド マテリアルズ インコーポレイテッド シリコン含有材料をエッチングするための自浄方法
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6544887B1 (en) * 2000-03-31 2003-04-08 Lam Research Corporation Polycide etch process
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
JP3593492B2 (ja) * 2000-06-13 2004-11-24 株式会社日立製作所 プラズマ処理方法
JP2002025986A (ja) * 2000-07-06 2002-01-25 Matsushita Electric Ind Co Ltd ドライエッチング方法
US6573192B1 (en) * 2000-09-21 2003-06-03 Infineon Technologies Ag Dual thickness gate oxide fabrication method using plasma surface treatment
US6716303B1 (en) * 2000-10-13 2004-04-06 Lam Research Corporation Vacuum plasma processor having a chamber with electrodes and a coil for plasma excitation and method of operating same
US6585830B2 (en) * 2000-11-30 2003-07-01 Agere Systems Inc. Method for cleaning tungsten from deposition wall chambers
US6821900B2 (en) * 2001-01-09 2004-11-23 Infineon Technologies Ag Method for dry etching deep trenches in a substrate
EP1235265A1 (en) * 2001-02-23 2002-08-28 Infineon Technologies AG Method for etching a hardmask layer and a metal layer
US6362098B1 (en) * 2001-02-28 2002-03-26 Motorola, Inc. Plasma-enhanced chemical vapor deposition (CVD) method to fill a trench in a semiconductor substrate
JP2002280365A (ja) 2001-03-19 2002-09-27 Applied Materials Inc 静電チャックのクリーニング方法
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6577113B2 (en) 2001-06-06 2003-06-10 Tokyo Electron Limited Apparatus and method for measuring substrate biasing during plasma processing of a substrate
KR100764248B1 (ko) * 2001-06-15 2007-10-05 동경 엘렉트론 주식회사 드라이 에칭 방법
KR100799069B1 (ko) * 2001-06-20 2008-01-29 동부일렉트로닉스 주식회사 웨이퍼 식각장치 및 식각방법
US7183217B2 (en) * 2001-06-22 2007-02-27 Tokyo Electron Limited Dry-etching method
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
JP2003068705A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体素子の製造方法
TW511215B (en) * 2001-10-15 2002-11-21 Macronix Int Co Ltd Inspection method for dynamic particle contaminant state of the etching chamber
US20030092280A1 (en) * 2001-11-09 2003-05-15 Applied Materials, Inc. Method for etching tungsten using NF3 and Cl2
US6606802B2 (en) 2001-11-30 2003-08-19 Micron Technology Inc. Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US6814814B2 (en) * 2002-03-29 2004-11-09 Applied Materials, Inc. Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
US6921723B1 (en) * 2002-04-23 2005-07-26 Applied Materials, Inc. Etching method having high silicon-to-photoresist selectivity
US6784062B2 (en) * 2002-06-03 2004-08-31 Micron Technology, Inc. Transistor formation for semiconductor devices
DE10226603A1 (de) 2002-06-14 2004-01-08 Infineon Technologies Ag Verfahren zum Strukturieren einer Siliziumschicht sowie dessen Verwendung zur Herstellung einer integrierten Halbleiterschaltung
DE10226604B4 (de) * 2002-06-14 2006-06-01 Infineon Technologies Ag Verfahren zum Strukturieren einer Schicht
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
JP3905462B2 (ja) * 2002-11-20 2007-04-18 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US6903028B2 (en) * 2002-11-29 2005-06-07 1St Silicon (Malaysia) Sdn Bhd Soft-landing etching method using doping level control
KR20040048019A (ko) * 2002-12-02 2004-06-07 주성엔지니어링(주) 실리콘 에피텍셜층 형성방법
JP2004221313A (ja) * 2003-01-15 2004-08-05 Kawasaki Microelectronics Kk 半導体製造工程の管理方法および半導体製造ラインの管理システム
US7140374B2 (en) * 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050072444A1 (en) * 2003-10-03 2005-04-07 Shigeru Shirayone Method for processing plasma processing apparatus
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7202170B2 (en) * 2004-01-20 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of improving etching profile of floating gates for flash memory devices
US7288284B2 (en) * 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7820553B2 (en) * 2005-07-20 2010-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Prevention of trench photoresist scum
US20070074741A1 (en) * 2005-09-30 2007-04-05 Tokyo Electron Limited Method for dry cleaning nickel deposits from a processing system
SG171606A1 (en) * 2006-04-26 2011-06-29 Advanced Tech Materials Cleaning of semiconductor processing systems
JP5578389B2 (ja) * 2006-05-16 2014-08-27 Nltテクノロジー株式会社 積層膜パターン形成方法及びゲート電極形成方法
KR100653217B1 (ko) 2006-05-29 2006-12-04 주식회사 아이피에스 금속 함유막을 증착하는 박막 증착 장치의 건식 세정 방법
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
US7993465B2 (en) 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP4755963B2 (ja) * 2006-10-30 2011-08-24 株式会社東芝 半導体装置の製造方法
US20080102642A1 (en) * 2006-10-31 2008-05-01 United Microelectronics Corp. Method of seasoning idle silicon nitride etcher and method of activating
US20080142039A1 (en) * 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US7946759B2 (en) * 2007-02-16 2011-05-24 Applied Materials, Inc. Substrate temperature measurement by infrared transmission
JP5095242B2 (ja) * 2007-03-08 2012-12-12 株式会社日立ハイテクノロジーズ プラズマ処理方法
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
WO2009102762A2 (en) 2008-02-11 2009-08-20 Sweeney Joseph D Ion source cleaning in semiconductor processing systems
JP5163894B2 (ja) * 2008-11-11 2013-03-13 信越化学工業株式会社 ドライエッチング時間の決定方法、及びドライエッチング方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
KR101682078B1 (ko) * 2010-07-30 2016-12-05 삼성디스플레이 주식회사 박막 트랜지스터 표시판의 제조 방법
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
KR20120120729A (ko) * 2011-04-25 2012-11-02 에스케이하이닉스 주식회사 반도체장치의 금속패턴 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) * 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) * 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9966312B2 (en) * 2015-08-25 2018-05-08 Tokyo Electron Limited Method for etching a silicon-containing substrate
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
KR102405202B1 (ko) * 2016-09-21 2022-06-02 도쿄엘렉트론가부시키가이샤 교차 구조물들을 패터닝하는 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102575017B1 (ko) * 2016-11-17 2023-09-05 삼성디스플레이 주식회사 유리 기판의 결함 검출 방법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6928548B2 (ja) * 2017-12-27 2021-09-01 東京エレクトロン株式会社 エッチング方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102656520B1 (ko) * 2018-04-27 2024-04-12 주성엔지니어링(주) 기판 처리 장치 및 이 장치를 이용한 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202105505A (zh) * 2019-02-22 2021-02-01 日商東京威力科創股份有限公司 電漿蝕刻製程
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115198352B (zh) * 2022-08-24 2024-03-26 西安奕斯伟材料科技股份有限公司 一种外延生长方法及外延晶圆

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5782955A (en) * 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
CA1204525A (en) * 1982-11-29 1986-05-13 Tetsu Fukano Method for forming an isolation region for electrically isolating elements
AU544534B2 (en) * 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (ja) * 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
JPH0824114B2 (ja) * 1984-11-09 1996-03-06 株式会社日立製作所 プラズマエッチング方法
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US5219485A (en) 1985-10-11 1993-06-15 Applied Materials, Inc. Materials and methods for etching silicides, polycrystalline silicon and polycides
JPH0740566B2 (ja) * 1986-02-04 1995-05-01 株式会社日立製作所 プラズマ処理方法及びその装置
US5354416A (en) 1986-09-05 1994-10-11 Sadayuki Okudaira Dry etching method
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4863561A (en) * 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
EP0565212A2 (en) * 1986-12-19 1993-10-13 Applied Materials, Inc. Iodine etch process for silicon and silicides
US4867841A (en) * 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
JPS6450427A (en) 1987-08-21 1989-02-27 Hitachi Ltd Plasma processing
US4876212A (en) * 1987-10-01 1989-10-24 Motorola Inc. Process for fabricating complimentary semiconductor devices having pedestal structures
US4799991A (en) * 1987-11-02 1989-01-24 Motorola, Inc. Process for preferentially etching polycrystalline silicon
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4994410A (en) * 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5084126A (en) * 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
US4992134A (en) 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
US5035768A (en) * 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US5002632A (en) * 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
DE69126149T2 (de) 1990-01-22 1998-01-02 Sony Corp Trockenätzverfahren
US5110411A (en) * 1990-04-27 1992-05-05 Micron Technology, Inc. Method of isotropically dry etching a poly/WSix sandwich structure
US5013398A (en) * 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
EP0463373A3 (en) * 1990-06-29 1992-03-25 Texas Instruments Incorporated Local interconnect using a material comprising tungsten
JP2964605B2 (ja) * 1990-10-04 1999-10-18 ソニー株式会社 ドライエッチング方法
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5338398A (en) * 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5431772A (en) * 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
JP3210359B2 (ja) 1991-05-29 2001-09-17 株式会社東芝 ドライエッチング方法
JP3253675B2 (ja) * 1991-07-04 2002-02-04 株式会社東芝 荷電ビーム照射装置及び方法
US5358601A (en) * 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
DE4132559A1 (de) * 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
US5318668A (en) * 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US5176792A (en) * 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5192702A (en) * 1991-12-23 1993-03-09 Industrial Technology Research Institute Self-aligned cylindrical stacked capacitor DRAM cell
US5443686A (en) * 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
DE4202158C1 (zh) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5282899A (en) * 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
KR100293830B1 (ko) * 1992-06-22 2001-09-17 리차드 에이치. 로브그렌 플라즈마 처리 쳄버내의 잔류물 제거를 위한 플라즈마 정결방법
US5188980A (en) * 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
JP3334911B2 (ja) 1992-07-31 2002-10-15 キヤノン株式会社 パターン形成方法
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
JP3227522B2 (ja) * 1992-10-20 2001-11-12 株式会社日立製作所 マイクロ波プラズマ処理方法及び装置
US5413954A (en) * 1992-11-10 1995-05-09 At&T Bell Laboratories Method of making a silicon-based device comprising surface plasma cleaning
JP3277394B2 (ja) * 1992-12-04 2002-04-22 ソニー株式会社 半導体装置の製造方法
JP3259380B2 (ja) * 1992-12-04 2002-02-25 ソニー株式会社 半導体装置の製造方法
KR100363340B1 (ko) 1993-05-20 2003-02-19 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리방법
US5384009A (en) * 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
JPH0729879A (ja) * 1993-06-24 1995-01-31 Sony Corp 半導体装置の製造方法
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5382316A (en) * 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07147271A (ja) * 1993-11-26 1995-06-06 Nec Corp 半導体装置の製造方法
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
JPH0864559A (ja) 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法
US5521119A (en) * 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5514622A (en) * 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5529197A (en) * 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5665203A (en) * 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JPH0982687A (ja) 1995-09-19 1997-03-28 Mitsubishi Electric Corp 半導体装置の製造方法
US5644153A (en) * 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction
US5626775A (en) * 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5874363A (en) * 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US5700741A (en) 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH1023387A (ja) 1996-07-04 1998-01-23 Ekushingu:Kk Catvセンタ装置
JP3568749B2 (ja) 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
US5869401A (en) * 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5866483A (en) * 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US6270634B1 (en) 1999-10-29 2001-08-07 Applied Materials, Inc. Method for plasma etching at a high etch rate

Also Published As

Publication number Publication date
US6699399B1 (en) 2004-03-02
EP1029345A1 (en) 2000-08-23
KR20010032030A (ko) 2001-04-16
US6136211A (en) 2000-10-24
WO1999025015A1 (en) 1999-05-20
KR100530246B1 (ko) 2005-11-22
JP2001523044A (ja) 2001-11-20

Similar Documents

Publication Publication Date Title
TW506019B (en) A method of simultaneously etching a substrate and cleaning a chamber
US6872322B1 (en) Multiple stage process for cleaning process chambers
US6527968B1 (en) Two-stage self-cleaning silicon etch process
TW449822B (en) Process for etching silicon-containing layers on semiconductor substrates
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
TWI230415B (en) Electrode for dry etching a semiconductor wafer
US6008139A (en) Method of etching polycide structures
CN101448580B (zh) 具有室去氟化和晶片去氟化中间步骤的等离子体蚀刻和光刻胶剥离工艺
JP2002509353A (ja) 有機マスク積層体を用いたパターン層の高温エッチング方法
TWI774790B (zh) 氧化物-金屬-氧化物-金屬堆疊之高深寬比蝕刻
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
US20030047532A1 (en) Method of etching ferroelectric layers
TW200303053A (en) A high selectivity and residue free process for metal on thin dielectric gate etch application
JP2004514272A (ja) 基板のクリーニング装置及び方法
TW582072B (en) Method for fabrication semiconductor device
KR20180120118A (ko) 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
Ryu et al. Roles of N 2 gas in etching of platinum by inductively coupled Ar/Cl 2/N 2 plasmas
TW478064B (en) Method of plasma etching a polysilicon layer through a patterned SiO2 layer
JPH113881A (ja) アッシング方法及び装置
KR100467474B1 (ko) 루테늄산화막식각방법
KR100414866B1 (ko) 반도체 소자의 이너 캐패시터 형성방법
JP2003179036A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees