KR101364440B1 - 플라즈마를 향한 벽의 수증기 패시베이션 - Google Patents

플라즈마를 향한 벽의 수증기 패시베이션 Download PDF

Info

Publication number
KR101364440B1
KR101364440B1 KR1020087021271A KR20087021271A KR101364440B1 KR 101364440 B1 KR101364440 B1 KR 101364440B1 KR 1020087021271 A KR1020087021271 A KR 1020087021271A KR 20087021271 A KR20087021271 A KR 20087021271A KR 101364440 B1 KR101364440 B1 KR 101364440B1
Authority
KR
South Korea
Prior art keywords
plasma
processing
processing chamber
water vapor
gas
Prior art date
Application number
KR1020087021271A
Other languages
English (en)
Other versions
KR20080100220A (ko
Inventor
진유 푸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080100220A publication Critical patent/KR20080100220A/ko
Application granted granted Critical
Publication of KR101364440B1 publication Critical patent/KR101364440B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

수소 래디컬들로 비아홀 안으로 배리어층을 코팅하기 이전에 낮은-k 유전체들의 수소 플라즈마 세정에 특히 유용한 챔버 패시베이션 방법이 원격 플라즈마 소스(60)로부터 제공된다. 각각의 웨이퍼에 대해, 수소 플라즈마의 점화 이전에 원격 플라즈마 소스를 통과한 수증기(86)(또는 플라즈마가 향한 벽들 상에서 훨씬 더 많이 화학 흡착된 다른 가스)로 패시베이팅된다. 수증기는 원격 플라즈마 소스의 알루미나 및 석영 부품들과 같은 벽들(78, 79) 상에 흡착되고, 보호성 단일층을 형성하며 이 단일층은 수소 플라즈마의 생성 동안 그 벽들을 보호할 정도로 충분히 오래 지속된다. 이에 의해, 특히 알루미나와 같은 유전체의 플라즈마를 향하는 벽들이 에칭으로부터 보호된다.

Description

플라즈마를 향한 벽의 수증기 패시베이션 {WATER VAPOR PASSIVATION OF A WALL FACING A PLASMA}
본 발명은 대체로 집적 회로들을 제조하는 프로세스에서의 플라즈마 세정에 관한 것이다. 특히, 본 발명은 유전체 층의 패턴화된 에칭과 증착 사이에서 수행되는 플라즈마 세정에 관한 것이다.
45nm 노드에 대하여 고려되는 것들과 같은 향상된 집적 회로들은 두 레벨들의 배선(two levels of wiring)을 상호연결하는 레벨간 유전체 층(interlevel dielectric layer)을 위한 매우 낮은-k 유전체(전기적으로 절연성) 물질들의 이용을 필요로 할 것이다. 3.9(실리콘 이산화물에 대한 값) 보다 다소 낮은 유전 상수를 갖는 낮은-k 물질들은 이미 상업 생산에 돌입하였다. 그러나, 예를 들어 2.5 미만의 훨씬 더 낮은 유전 상수들이 미래에 필요할 것이다. 이러한 물질의 예는 블랙 다이아몬드TMII(BDII) 유전체이고 이는 캘리포니아 산타 클라라의 어플라이드 머티어리얼스사로부터 상업적으로 이용가능하다. 리(Li)가 미국 특허 출원 제 2003/0194495호에서 설명한 이 유전체 물질은 10원자%(at%) 초과의 탄소 분율을 갖는 탄소 도핑된 실리콘 산화물(또한 실리콘 옥시카바이드라고도 불림)로서 특징지어질 수 있다. 개선들은 BDIIx 유전체 및 DBIIebeam 유전체를 포함하고, BDIIx 유전체는 UV 경화되고 30%의 다공성을 가질 수 있으며, DBIIebeam 유전체는 전자들을 이용하여 경화된다. 다우 케미칼로부터 이용가능한 Silk® 및 Cyclotene®(벤조시클로부텐) 유전체 물질들을 포함하는 다른 탄소 함유 낮은-k 유전체들이 공지되어 있다. 이러한 물질들의 대부분은 유기 또는 중합 유전체들로서 특징지어진다.
레벨간 상호연결의 형성에서의 원형적인(prototypical) 구조는 도 1의 단면도에서 도시된다. 하부 유전체 층(10)은 그것의 표면에 형성된 전도성 피쳐(12)를 포함한다. 향상된 레벨간 연결들을 위한 전도성 피쳐(12)는 일반적으로 구리로 이루어지지만 유사한 기하형태들(geometries)이 실리콘 기판의 접촉 능동 반도체 영역들에 적용된다. 매우 낮은-k 유전체 물질의 상부 유전체 층(14)은 하부 유전체 층(10)과 전도성 피쳐 위에 증착된다. 홀(16)은 포토리소그래피적으로(photolithographically) 정의되고 상부 유전체 층(14)을 통해 전도성 피쳐(14)로 에칭된다. 구리 금속화에 이용되는 일반적인 이중 다마신 상호연결에 대해, 홀(16)은 전도성 피쳐(12)로의 수직 상호연결을 형성하는 좁은 하부 비아(narrow lower via)와 집적 회로의 서로 다른 부분들 사이의 수평 상호연결을 형성하는 넓은 상부 트렌치로 이루어진다. 이중 다마신 구조들에 대해, 전도성 피쳐(12)는 하부 레벨의 유전체(10)에 형성된 구리가 채워진 트렌치의 일부분일 수 있다. 홀이 에칭된 이후, 예를 들어 Ta/TaN의 얇은 실질적으로 등각의 배리어 층이 상부 유전체 층(14)의 필드 구역 위 뿐만 아니라 홀(16)의 측면들 상으로 일반적으로 마그네트론 스퍼터에 의해 코팅된다. 이후 얇은 실질적으로 등각의 구리 씨드층이 일반적으로 마그네트론 스퍼터링에 의해 또한 배리어 층 위에 증착된다. 이후, 구리가 필드 구역 위에서 그리고 홀(16) 안으로 전기 도금된다. 마지막으로, 화학 기계적 폴리싱(CMP)이 홀(16) 외부의 구리를 제거하는데 이용된다.
포토레지스트 에슁(ashing) 이후에라도, 포토리소그래픽 에칭 단계는 홀(16)의 측면들 상에 탄소질 또는 플루오르화탄소 중합체층(18)을 종종 남기고, 이 중합체층은 높은 이방성의 에칭을 달성하는데 유리하게 이용되지만 에칭의 중지 이후에 남는다. 또한, 포토리소그래픽 에칭 단계는 트렌치의 바닥부에 에칭 잔여물(20)을 남길 수도 있는데, 이는 에칭 화학 작용의 탄소, 실리콘 및 플루오르 부산물들의 조합물일 수 있다. 또한, 전도성 피쳐(12)에서 노출된 구리는 구리 산화물로 쉽게 산화된다. 또한, 추가로, 에슁 잔여물(22)은 홀(16)의 가장자리(lip)에서 형성되는 경향이 있다. 홀(16)의 바닥부에서의 구리 산화물 및 에칭 잔여물들(20)은 금속화 증착 이전에 배리어 증착에 앞서 제거되지 않으면 접촉 저항을 증가시킨다. 중합체 코팅(18) 및 에슁 잔여물들(22)은 유전체 층(14)에 대한 배리어 층의 결합을 방해하고 이에 의해 배리어 층 및 구리 비아 구조는 제작 동안 또는 작동 동안 얇은 층으로 갈라질 수 있으며, 이는 실질적인 신뢰도 문제를 일으킨다. 따라서, 배리어 증착이 시작하기 이전에 구리 산화물 및 잔여물들(18, 20, 22)을 제거하는 것이 크게 요구된다.
종래의 실리카 유전체들의 경우, 잔여물을 제거하기 위해 패턴화된 웨이퍼를 스퍼터 에칭함으로써 에칭 단계와 증착 단계 사이에서 웨이퍼를 건식 세정하는 것이 일반적이었다. 이러한 스퍼터 에칭은 일반적으로 높은 에너지 이온들을 수반하고, 이 이온들은 비교적 단단한 실리카 유전체 층들에 크게 영향을 미치지 아니한다. 그러나, 낮은-k 유전체 층들은 비교적 연질인(sofe) 경향이 있다. 따라서, 스퍼터 에칭은 낮은-k 유전체 층을 불리하게 에칭하여 열화(degrade)시키는 경향이 있다. 더욱 연질의 화학적 에칭은 웨이퍼에 인접한 세정 챔버에서 생성된 산소 플라즈마, 즉 인시츄(in situ) 플라즈마를 이용하여 수행될 수 있다. 이 세정 프로세스는 다공성이지 않고 약 3.7의 유전 상수(k)를 갖는 낮은-k 유전체의 초기 형태들에 만족스러운 것으로 증명되었다. 그러나, 인시츄 산소 플라즈마는 10%보다 큰 다공성 및 약 2.5의 k 값을 갖는 가장 최근의 매우 낮은-k 필름들에 대해서는 만족스럽지 않은 것으로 증명되었다. 산소 플라즈마는 플라즈마에 노출된 플로팅 바디(floating body) 상에서 전개하는 네거티브 자체 바이어스로 당겨지는 높은 분율의 산소 원자들을 포함한다고 믿어진다. 이후 산소 이온들은 매우 낮은-k 필름을 손상시키기에 충분한 에너지에 의해 그 매우 낮은-k 필름을 충격한다. 따라서, 미국 특허 출원 공개 공보 제 2004/0219789호에서 우드 등에 의해 개시된 것과 같이 원격 플라즈마 소스(RPS)로부터 생성된 산소 플라즈마로 패턴화된 웨이퍼를 세정하는 실시예가 개발되었다. 플라즈마가 프로세싱 공간에 도달할 때 이온들은 계속 남아 있을 수 있을지라도, 원격으로 생성된 플라즈마는 전기적으로 중성의 래디컬들을 강조(emphasize)하지만, 인시츄 플라즈마는 프로세싱 공간에서 또는 그 근처에서 생성된 전기적으로 대전된 이온들을 강조하고 있다. 원격으로 생성된 산소 플라즈마는 많은 중성의 그리고 낮은 에너지의 산소 래디컬들을 웨이퍼로 투사하며, 이는, 다른 잔여물들을 제거시키기 위해 상기 다른 잔여물들을 산화시키고 그렇지 아니하면 상기 다른 잔여물들과 화학적으로 반응한다.
그러나, 여기된(excited) 산소는 매우 낮은-k 유전체 물질들에 대해서는 만족도를 증명하지 못하였다. 유전 상수에서의 감소는 유전체 물질에서 높은 다공성에 의해 종종 획득된다. BDII의 유전체 층들은 10%를 넘는, 심지어 30%를 초과하는 다공성을 가질 수 있다. 따라서, 이들은 매우 연질일 뿐만 아니라 이들은 또한 산화 건식 세정에 매우 반응적이다. 또한, 유전체 내에 포함된 산소는 실리콘 및 탄소 결합들보다 더욱 분극화 가능한 결합을 생성하는 경향이 있는데, 즉 유전 상수를 증가시키는 경향이 있다. 결과적으로, 환원 화학 작용에 기초한 건식 세정은 예를 들어 NH3의 원격 생성된 플라즈마(크로퓨니키 등의 미국 특허 제 6,440,864호 참조) 또는 H2의 비교적 높은 압력들을 이용하여 개발되었다. 수소 접근법이 유행하였지만 그 결과는 아직 완전히 만족스럽지는 않았다. 수소 플라즈마에서 매우 작은 양들의 수증기라도 다공성의 낮은-k 필름의 소수성 성질을 크게 감소시키고 이에 의해 유전 상수를 증가시키는 경향이 있다. 심지어 순수한 수소 플라즈마라도 낮은-k 물질들을 열화시키는 경향이 있다. 또한, 합리적인 에칭 속도들은 챔버 압력을 증가시킴으로써 달성되지만, 전력 공급부들의 용량은 증가된 압력을 따라갈 필요가 있다. 또한, 더 높은 수소 압력들에서, 이온화되어 세정 챔버로 누설되는 원격 플라즈마 소스로부터의 수소의 분율이 증가된다. 수소 이온들은 웨이퍼로 에너지적으로 당겨지는 경향이 있고, 우리는 이러한 이온들이 다공성의 낮은-k 물질에 손상을 입힌다고 믿는다.
여기서 참조로 포함되고 2006년 1월 17일자로 출원된 미국 특허출원 제 11/334,803호에서 본 발명자 및 다른 발명자들은 수소 및 헬륨 가스들의 혼합물 또는 순수한 수소 가스 중 어느 하나의 원격으로 생성된 플라즈마를 이용하여 다공성의 낮은-k 유전체들을 사전 세정하기 위한 프로세스를 설명한다. 플라즈마는 원격 플라즈마 소스에서 생성되고 이온들은 소스 출력부로부터 필터링되며 이에 의해 수소 래디컬들만이 웨이퍼에 도달한다. 챔버 세정을 위한 원격으로 생성된 래디컬들의 이용 및 이러한 원격 플라즈마 소스의 예는 2003년 8월 반도체 잡지(Semiconductor Magazine) 6pp의 "300mm의 평면 패널 CVD 시스템들을 세정하기 위한 원격 플라즈마 소스들에서의 진보들(Advances in Remote Plasma Sources For Cleaning 300 mm and Flat Panel CVD Systems)"에서 첸 등에 의해 설명되었다. 세정 성능은 예를 들어 순수 수소에 대해서 150밀리토르 미만 그리고 바람직하게는 30밀리토르 미만의 수소의 낮은 부분압력에서 작동함으로써 향상된다. 세정 성능은 매우 좋은 것으로 관찰되었지만, 값비싼 원격 플라즈마 소스는 그것이 고장나기 전에 짧은 수명을 나타내었다. 수소 플라즈마는 플라즈마 소스의 알루미나 벽들을 부식(attack)시키고 에칭한다고 믿어진다. 화학적으로 유사한 알루미나 벽들과 같은 애노드화된(anodized) 알루미늄 벽들은 수소 플라즈마로 열화하는 것으로 관찰된다. 알루미나 벽들을 석영 벽들로 대체하는 것은 어느 정도 수명을 증가시킬 것이다. 그러나, 석영-라인된(quartz-lined) 플라즈마 소스들은 훨씬 더 비싸고 석영은 또한 수소 플라즈마에서 열화하는 것으로 관찰되었다. 유사한 에칭 효과들이 플라즈마 소스와 이온 필터 사이의 알루미나 라이너에서 관찰되었다.
프로세싱 가스, 예를 들어 에칭 또는 세정 가스, 특히 수소와 같은 환원성 가스의 플라즈마의 점화 이전에 비여기된(unexcited) 수증기로 플라즈마 프로세싱 챔버가 패시베이팅된다. 바람직하게 패시베이션은 기판 프로세싱의 각각의 사이클 동안 수행된다.
본 발명은 유전체벽들을 구비한 원격 플라즈마 소스를 갖는 플라즈마 사전 세정 챔버에 특히 유용하고, 이러한 유전체 벽들 내에서 수소 또는 수소와 헬륨의 혼합물이 플라즈마로 원격적으로 생성되고, 이러한 플라즈마로부터 이온들이 필터링되어 수소 래디컬들의 여기된(excited) 가스를 제공한다. 수증기 패시베이션에 일체화된 이러한 세정 프로세스는 다공성이고 연질의 낮은-k 유전체들을 효과적으로 세정한다.
질량 유동 제어기로부터의 하류에서 약 1 Torr 압력의 수증기가 실온에서 액체 워터로부터 자연적으로 생성될 수 있고, 20 Torr 또는 그 미만의 압력으로 진공 펌핑될 수 있다. 동일한 증기 소스가 예를 들어 1 milliTorr 미만의 부분 압력과 같은 훨씬 더 낮은 압력에서 수증기를 공급하는데 이용될 수 있다.
도 1은 레벨간 상호연결 구조 또는 비아의 단면도이다.
도 2는 본 발명으로 이용 가능하고 원격 플라즈마 소스를 이용하는 세정 챔버의 단면도이다.
도 3은 원격 플라즈마 소스의 개략 단면도이다.
도 4는 수증기 공급 시스템의 더욱 상세한 파이핑(piping) 도면이다.
도 5는 수증기 패시베이션 및 원격 수소 플라즈마 세정을 위한 프로세스 흐름도이다.
도 6은 수증기를 주입하는 것을 중단한 이후 진공 챔버에서 수증기 부분 압력의 감소를 도시하는 타이밍도이다.
도 7은 수증기 패시베이션을 이용한 세정 성능의 개선을 도시하는 막대 차트이다.
인용된 특허 출원 제 11/334,803호에서 설명된 수소 사전 세정 프로세스는 세정 플라즈마의 워터 컴포넌트를 유리하게 배제하고, 이에 의해 다공성의 낮은-k 유전체의 유전 상수의 열화(degradation)를 막는다. 그러나, 수증기를 포함하는 종래의 플라즈마는 알루미나 및 다른 유전체 벽들에 일부 보호를 제공한다는 것이 현재 믿어지고 있다. 인용된 특허 출원의 플라즈마 사전 세정 프로세스는, 바람직하게 수소를 함유하고 워터를 함유하지 않는 플라즈마의 점화 이전에 플라즈마로 여기되지 않은 수증기를 가진 플라즈마를 향하는 다른 벽들 및 원격 플라즈마 소스를 패시베이팅함으로써 개선될 수 있다.
도 2의 단면도에서 도시된 원격 플라즈마 세정 챔버(30)는 진공 펌핑 시스템(36)에 의해 펌핑되고 힌지 주위에서 개방될 수 있는 뚜껑(34)을 포함하는 진공 프로세싱 챔버(32)를 포함한다. 챔버(32) 내의 페데스탈(38)은 매우 많은 수의 구멍들(44)을 통해 프로세스 가스를 공급하는 가스 샤워헤드(41)에 대향하여 세정되는 웨이퍼(40)를 지지한다. 페데스탈(38)은, 요구되는 에칭 또는 사전 세정 온도로 웨이퍼(40)의 온도를 올리기 위해 히터 전력 공급부(48)로부터의 전류를 선택적으로 공급받는 저항성(resistive) 히터(46)를 포함한다.
사전 세정을 위한 프로세스 가스는 질량 유동 제어기(52)를 통해 수소 가스 소스(50)로부터 선택적으로 공급되는 순수한 수소 가스(H2) 또는 다른 질량 유동 제어기(56)를 통해 헬륨 가스 소스(54)로부터 선택적으로 공급되는 헬륨(He)과 수소의 조합물 중 어느 하나이다. 요구되는 헬륨 분율의 단일 H2/He가스 공급이 대체될 수 있다. 뚜껑(34) 상에 장착된 원격 플라즈마 소스(RPS)(60)는 공급 라인(62)으로부터 프로세스 가스를 받고 이를 플라즈마로 여기시킨다. 원격 플라즈마 소스(60)는 다양한 형태들을 가질 수 있다. 도 3에서 개략적으로 도시된 예시적인 RF 유도성 원격 플라즈마 소스(64)는, 그 주위에 유도성 코일(68)이 감겨진 유전체 튜브(66)를 포함한다. RF 전력 소스(70)는 코일(68)을 전기적으로 파워링(power)하고, 이러한 코일은 유도적으로 RF 에너지를 튜브(64)의 보어(bore)로 커플시키며(couples) 이에 의해 튜브(66) 내에서 유동하는 가스를 플라즈마로 여기시킨다. 본 발명에서, 수소 가스(H2)는 대전된 수소 이온들(H+) 및 중성 수소 래디컬들(H*)을 포함한 플라즈마로 여기된다. 향상된 원격 플라즈마 소스는 예를 들어 토로이달 여기 튜브들(toroidal excitation tubes)에 의존하여 더 복잡해지는 경향이 있으며, 다른 형태들의 플라즈마 생성기들이 가능하다. 여기된 가스는 공급 튜브(72)를 통해 샤워헤드(42)의 뒤에 있는 가스 매니폴드(74)로 전달된다.
도 2를 참고하면, 원격 플라즈마 소스는 진공 챔버(32)의 상류에 있다. 이온 필터는 원격 플라즈마 소스(60)와 매니폴드(74) 사이의 경로를 따라 배치되고 이에 의해 임의의 수소 이온들(H+)을 제거하며, 이로써 오직 중성 수소 래디컬들(H*)만이 웨이퍼(40)에 도달한다. 이온 필터는, 튜브 내부를 가로질러 자기장(B)을 투사하여 대전된 수소 이온들을 편향시키거나 또는 붙잡기 위해, 공급 튜브(72)를 가로질러 대향하여 배치된 두 개의 자석들(76, 77)을 포함할 수 있다. 제거 가능한 유전체 튜브 라이너(78)는 공급 튜브(72)의 내부에 위치될 수 있고, 유전체 챔버 라이너(79)는 매니폴드(74)의 벽들을 덮을 수 있으며 이에 의해 벽들을 보호하고 수소 래디컬들의 재결합을 감소시킨다. 일 실시예에서, 튜브 라이너(78)는 알루미나(Al2O3)로 이루어지고, 매니폴드 라이너(79) 및 샤워헤드(42)는 석영(SiO2)으로 이루어진다. 따라서, 여기된 가스는 세정되는 웨이퍼(40)로 샤워헤드(41)를 통해 균일하게 전달된다.
본 발명의 이러한 실시예에서, 액체 워터의 풀(82)(a pool of liquid water)을 함유한 진공-밀봉된 앰풀(ampoule; 80)이 챔버 뚜껑(34) 상에 장착되고, 질량 유동 제어기(84)는 앰풀(80)로부터 원격 플라즈마 소스(60)로의 수증기를 계량한다. 실온에서 워터의 증기 압력은 약 20 Torr이고, 이는 원격 플라즈마 소스(60)가 작동하는 통상의 진공 레벨들보다 훨씬 높다. 따라서, 일단 앰풀(80)이 백 펌핑되었다면(back pumped), 약 20 Torr의 압력을 갖는 수증기는 앰풀(80)에서 액체 워터 풀(82) 위의 헤드 공간(head space; 86)에 존재한다. 앰풀(80)은, 가스 소스들(50, 54) 및 그들의 질량 유동 제어기들(52, 56)이 챔버(30) 및 그의 원격 플라즈마 소스(60)로의 다소 긴 튜빙(88)을 갖는 원격 가스 패널 상에 일반적으로 장착된 동안 수증기가 응축되기 쉬운 벽들 상의 튜빙의 길이를 최소화시키기 위해 챔버 뚜껑(34) 상에 직접 장착된다. 액체 워터의 단일 충전(single charge)은 8초 동안 수증기의 5sccm의 예시적 레서피(recipe)가 대기 압력 수증기의 0.66cc 및 이에 따라 액체 워터의 약 0.54x10-3cc에 이른다는 관찰과 일관되게 100,000를 초과하는 웨이퍼 사이클들 동안 유지되는 것으로 관찰되었다. 그럼에도 불구하고, 워터 레벨 센서는 워터 앰풀(80) 내에 유리하게 포함된다.
수증기 공급 시스템의 더욱 완전한 실시예는 도 4에서 개략적으로 도시된다. 제 1 격리 밸브(90)는 질량 유동 제어기(84)를 워터 앰풀(80)로부터 분리시키고, 제 2 격리 밸브(92)는 질량 유동 제어기(84)를 원격 플라즈마 소스(60)로의 공급 라인(62)으로부터 분리시킨다. 또한, 질량 유동 제어기(84) 주위의 우회 라인(94)은 제 3 격리 밸브(96)를 포함한다. 격리 밸브들은 워터 앰풀(80)을 백 펌핑하여, 챔버 유지 보수 동안 이 앰풀(80)을 격리시키고, 튜브들로부터 워터 응축물을 제거하는데 유용하다.
도 2에서 도시된 것처럼, 기록 가능한 매체(102)를 수용하는 컴퓨터화된 제어기(100)는 펌핑 시스템(36), 히터 전력 공급부(48), 원격 플라즈마 소스(60), 가스 질량 유동 제어기들(52, 56, 84)을 제어한다. 플로피 디스크 또는 CD와 같은 자기 또는 광학 디스크일 수 있는 기록 가능한 매체(102)는 프로세스 레서피를 포함하고, 이 레서피에 따라 제어기(100)는 챔버(30)에서 패시베이션 및 사전 세정에서 작동들의 시퀀스뿐만 아니라 챔버(30)의 안으로 및 밖으로의 웨이퍼들의 전달, 그리고 필요에 따라 격리 밸브들(90, 92, 96)의 작동을 제어한다.
본 발명의 일 양상에 따르면, 작은 양의 수증기는 플라즈마의 점화 이전에 원격 플라즈마 소스(60)로 그리고 이에 따라 챔버(30)로 펄스된다. 수증기는 모든 벽들 상에 얇은 워터 코팅을 형성한다. 수증기 주입이 중지되고 챔버가 Torr 미만(sub-Torr)의 범위의 작동 압력으로 펌핑된 이후, 워터 코팅이 크게 증발한다. 그러나, 특히 금속으로의 또는 알루미나와 같은 금속 산화물로의 또는 석영으로의 화학 흡착은 벽들 상에 매우 얇은 워터 층이 형성되게 한다. 진공 사이언스 및 테크놀로지 저널 A(Journal of Vacuum Science and Technology A) vol.13(2), 1995, pp.467-475의 "가역적으로 흡착된 페이스의 펌프 다운 모델링. I.단일층 및 하위단일층 초기 커버리지(Modeling the pump-down of a reversibly adsorbed phase. I.Monolayer and submonolayer initial coverage)"의 레드헤드(Redhead)는 1 milliTorr 보다 작은 수증기 압력에서 필름이 워터의 단일층에 의해 형성된다는 것을 개시한다. O-H 결합들은 금속의 네이티브 산화물(native oxide) 또는 금속 산화물 상에 형성된다고 믿어진다. "알루미나 표면들 상의 워터의 화학 작용: 제 1 원리들로부터의 반응 역학(The chemistry of water on alumina surfaces: Reaction dynamics from first principles)", 사이언스(Science), vol.282 1998년 10월 9일, pp.265-268에서 하아스(Haas) 등은 워터 분자들이 알루미나 표면에 O-H 결합을 형성한다는 것을 개시한다. O-H 결합은 이온 상태의 수소가 알루미나에서의 Al과 같은 금속 또는 산소 중 어느 하나를 플라즈마를 향하는 벽으로부터 제거하는 것을 막는다는 것이 믿어진다. 결국, 진공 펌핑은 워터 단일층을 탈착하고 제거한다. 그러나, 본원의 관찰은, 수증기 패시베이션이 매 워터 사이클마다 수행된다면, 그 보호는 프로세스의 플라즈마 스테이지를 통해 지속된다는 것이다.
종래 기술에서 실행되는 것과 같이 플라즈마가 워터 컴포넌트를 함유한 경우 동일한 보호 메커니즘이 적용될 것이다. 그러나, 워터 플라즈마는 낮은-k 유전체에 악영향을 미친다. 수증기에 의한 패시베이션은 웨이퍼 상에 일부 워터를 증착할지라도, 사전 세정은 20 Torr의 수증기를 갖는 클린룸 대기로부터 최근에 삽입된 웨이퍼들에 일반적으로 수행되어서 일부 워터 코팅은 피할 수 없으며 패시베이션 동안 챔버로 일반적으로 펄스된 1 Torr 미만의 수증기에 필적해야 한다. 또한, 표준 사전 세정 프로세스는 웨이퍼를 300℃를 초과하는 온도까지 가열한다. 수증기의 펄스 이후에만 가열이 시작한다면 그리고 가열이 완료된 이후 수초 동안 플라즈마 점화가 지연된다면, 플라즈마 또는 수소 래디컬들이 있는 경우에 워터가 웨이퍼 상에 거의 존재하지 않는다.
도 3에서 도시된 흐름도는 매 웨이퍼 사이클 동안 수행되는 플라즈마 세정 프로세스를 도시한다. 본 발명은 다중-웨이퍼 배치 챔버들에서 수행될 수 있지만, 바람직한 세정 프로세스는 도 2에서 도시된 것처럼 단일-웨이퍼 챔버에서 수행된다. 펌프 업(pump up) 단계(110)에서, 챔버 압력은 다소 제어되지 않지만 일반적으로 6.5 Torr 미만으로 유지된다. 이 단계(110)의 일부 동안, 중앙 전달 챔버로부터 사전 세정 챔버(30)를 분리시키는 슬릿 밸브가 개방되고 이에 의해 로봇 블레이드가 챔버에서 이미 사전 세정된 웨이퍼를 제거하게 하고 그것을 프로세싱되지 않은 웨이퍼로 대체시키게 한다. 바람직하게 슬릿 밸브가 닫힌 이후 각각 예를 들어 2000sccm인 많은 양의 수소 및 헬륨이 챔버 안으로 유동되어 챔버를 정화시킨다. 펌프 업 단계(110)의 마지막에, 바람직하게 슬릿 밸브가 닫힌 이후, 수증기 패시베이션이 수행된다. 예를 들면, 5sccm의 수증기가 8초 동안 챔버 안으로 유동된다. 많은 양의 수소 및 헬륨에 의해, 1 Torr의 챔버 압력은 약 1 milliTorr의 수증기의 부분 압력에 이르고 이에 의해 10 milliTorr 미만의 수증기의 부분 압력이 분명히 효과적임을 유의한다. 원격 플라즈마 소스는 턴 온(turn on)되지 않아서, 정화 가스 및 수증기 모두 플라즈마로 여기되지 않고 비여기된 가스들로서 챔버로 원격 플라즈마 소스를 통해 그것들이 유동한다.
가열 단계(112)에서, 페데스탈 상에 놓여있는 프로세싱되지 않은 웨이퍼는 작동 동안 페데스탈 내에서 유지되는 온도 예를 들어 250 내지 350℃까지 가열된다. 히터 전력 공급부가 턴 온되고 이에 의해 페데스탈을 미리 결정된 온도 예를 들어 350℃로 가열한다. 가열 단계(112) 동안, 수증기 공급은 불연속적이고 프로세스의 나머지 사이클 동안 재개되지 않는다. 수소 유동은 계속되지만 헬륨 공급은 중단된다. 챔버 압력은 비교적 높은 6.5 Torr에서 유지되고 이에 의해 챔버의 가열 및 온도 평형을 촉진시킨다. 플라즈마 점화를 준비하는 아르곤 램프 단계(114)에서, 상당한 양의 아르곤이 챔버로 공급되는데, 예를 들어 많은 양의 수소의 연속적인 공급과 함께 1000sccm이 공급된다. 챔버 압력은 6.5 Torr로 높게 유지된다. 펌프 다운 단계(116)에서, 챔버 압력은 플라즈마 점화의 준비에서 1Torr로 감소된다. 동일한 양의 아르곤이 적은 양의 수소, 및 헬륨이 세정에 이용되는 경우 선택적으로 헬륨과 함께 공급된다. 점화 단계(ignition step; 118)에서, 원격 플라즈마 소스로의 RF 공급이 최종적으로 턴 온되어 현재 대부분을 차지하고 있는 아르곤과 같은 가스를 플라즈마로 점화시킨다. 전이 단계(transition step; 120)에서, 챔버는 플라즈마 사전 세정을 위한 바람직한 챔버 압력으로 펌프다운되고, 수소 및 가급적 헬륨의 세정 양들이 공급되며, 아르곤의 공급은 부분적으로 감소된다.
플라즈마가 점화될 때까지, 워터의 단일층만이 벽들 및 웨이퍼를 코팅할 것으로 기대된다. 수증기의 주입을 중단한 이후 챔버에서의 수증기의 부분 압력을 도시하는 도 6의 차트에 의해 나타나는 것처럼, 과도한 수증기가 빠르게 펌프 아웃되고 수증기 부분 압력은 3x10-6 Torr 미만으로 감소된다. 매우 낮은 워터 부분 압력은 연질의 낮은-k 유전체로부터 포토레지스트 및 다른 잔여물들을 세정하는데 수반되는 에칭 화학 작용과의 최소 방해를 보장한다. 그러나, 챔버 벽 상에 잔존하는 일시적인 워터 단일층은 수소 플라즈마에 대한 보호를 갖는 플라즈마를 향하는 벽에 제공하기에 충분해 보인다.
도 5로 돌아가면, 플라즈마 에칭 단계(122)에서, 선택적인 헬륨의 포함과 함께 수소의 환원 화학 작용에 의존하는 플라즈마에 의해 웨이퍼는 사전 세정된다. 플라즈마를 유지하는데 아르곤은 필요하지 않다. 두 개의 최적화된 사전 세정 레서피들이 개발되었다. 첫 번째는 오직 400sccm의 수소가 공급되는 60 milliTorr 챔버 대기에서 30회의 에칭(30s of etching)을 포함한다. 두 번째는 400sccm의 수소 및 1200sccm의 헬륨이 공급되는 350 milliTorr 챔버 대기에서 30회의 에칭을 포함한다. 다른 에칭 파라미터들이 개발될 수 있다. 그러나, 수증기 패시베이션은 에칭 플라즈마가 환원 화학 작용일 때 특히 수소 래디컬 화학 작용일 때, 및 상당한 워터 또는 산소와 같은 산화제를 포함하지 않을 때 특히 유용한 것으로 보인다. 플라즈마 에칭 단계(122)는 웨이퍼의 사전 세정을 완료시키고 원격 플라즈마 소스는 단계(122)의 완료시 턴 오프된다. 이후 작동은 다른 웨이퍼 상에서 동일한 프로세스를 수행하기 위해 단계(110)로 되돌아간다.
패시베이션의 효과들의 대부분은 가열 단계(112) 또는 가급적 아르곤 램프 단계(114) 또는 펌프 다운 단계(116)에서 그러나 점화 단계(118) 이전에 원격 플라즈마 소스로 여기되지 않은 수증기를 공급함으로써 획득될 수 있다는 것이 명확해야 한다. 본 발명자는 H2O가 펌프 업 단계(110)에서 형성되는 동안 그 이후의 단계들(112, 114, 116)에서 이 유동을 계속하는 것은 중요한 영향을 미치지 못함을 관찰하였다.
수증기 패시베이션은 사전 세정 단계의 성능을 증가시키는 것으로 관찰되었다. 도 7의 막대 차트에서 도시된 것처럼, 포토레지스트 에칭 속도는 수소 플라즈마의 점화 이전에 패시베이션 없이 약 120nm/min으로부터 수증기 패시베이션에 의해 약 200nm/min로 증가하는 것으로 관찰되었다. 또한, 세정을 위한 선택도는 약 30으로부터 약 90 초과까지 증가하는 것으로 관찰되었고, 이 경우 선택도는 세정되는 매우 낮은-k 유전체의 에칭 속도와 포토레지스트 에칭 속도의 비율로서 정의된다.
또한, 패시베이션은 원격 플라즈마 소스의 수명을 늘이는 것으로 관찰되었다. 패시베이션 없이, 챔버가 원격 플라즈마 소스의 총 900분의 작동으로 1800개 이하의 웨이퍼들을 프로세싱한 이후, 세정 프로세스는 완전히 시프트하고 포토레지스트 에칭 속도는 그것의 원래 값의 30% 미만으로 감소된다. 수증기 프로세스가 있는 경우, 세정 프로세스는 지금까지 테스트된 숫자의 웨이퍼들, 특히 원격 플라즈마 소스의 5000분의 작동에 대응하는 10,000개 웨이퍼들에 대해 열화(degradation)을 나타내지 않는다.
웨이퍼들을 프로세싱하는 다른 순차적인 시도에서, 임의의 패시베이션 없이, 입자 가산기(particle adder)들의 숫자는 웨이퍼 당 0.12μm에 대해 200개의 가산기들로 증가하는 것으로 관찰되었다. 이후, 이 시도는 동일한 챔버 및 원격 플라즈마 소스에서 계속되었다. 가산기들의 숫자는 즉시 30개 미만으로 드롭(drop)되었고 20개의 추가적인 웨이퍼들 내에서 10개 미만으로 계속적으로 드롭되었다.
수증기는 유사한 챔버들 상에서의 그것의 이미 이용, 그것의 저비용 및 쉬운 교체를 이유로 본 발명에서 유리하게 이용된다. 그러나, 가스들이 워터에 대해 대체될 수 있는데, 이들은 벽 표면들 특히 알루미나 표면들 상에서 더 높은 화학 흡착을 갖는다. 이러한 가스들의 예들로는 CH4, CO 및 CO2가 있고, 이들은 예를 들어 가스 패널 상에 장착된 자신의 가스 탱크들로부터 공급될 수 있다. 패시베이션을 위해 이용될 때, 이러한 가스들은 플라즈마로 여기되지 않지만 그들의 비여기된 가스 형태들로 챔버 안으로 또는 원격 플라즈마 소스를 통해 공급된다.
본 발명은 원격 플라즈마 소스의 서비스 수명을 연장하는데 특히 유용하다. 그러나, 이는 전달 튜브, 샤워헤드, 및 플라즈마 또는 이로부터 유도되는 래디컬들에 노출된, 유전체이든 또는 금속성이든 간에 벽들을 갖는 플라즈마 반응기의 다른 부품들을 패시베이팅하도록 또한 기능한다.
본 발명은 사전 세정 가스의 점화 이전에 원격 플라즈마 소스의 패시베이션에 관해 설명되었지만, 본 발명은 여기에 제한되지 않는다. 원격 플라즈마 소스는 포토레지스트의 벌크를 제거하는 메인 에슁 단계에 이용될 수 있다. 또한, 원격 또는 인시츄 플라즈마를 이용하든지 간에 그리고 플라즈마 에칭의 다른 형태들 그리고 더욱 특히 환원 화학 작용을 이용하는 에칭에 이용되는 챔버들은 본 발명의 이용으로부터 이익을 얻을 수 있다.
본 발명은 글라스 및 다른 유전체 패널들과 같은 다른 형태들의 기판들을 프로세싱하는데 이용될 수 있으나, 실리콘 웨이퍼들을 프로세싱하는데 제한되는 것은 아니다.
예를 들면, 중성 래디컬들 또는 대전된 이온들이든 간에 수소 원자들은 금속들 및 비금속들을 포함한 상이한 형태들의 기판들 상에서 부식 생성물들의 화학적 환원에 유용하다. 예를 들면, 역사적 그리고 고고학적 유물들의 금속 표면들은 수소 원자들의 빔(beam)으로 세정될 수 있다. 수소 원자들은 반도체 산업에서 이용되는 원격 플라즈마 소스들과 유사한 플라즈마 생성기들에서 자주 제조된다. 유사한 수소 플라즈마 생성기들은 수소 레이저들에서의 소스로서 이용된다. 지금까지 그 장비는 비쌌는데, 이는 수소 플라즈마의 연속적인 존재로 플라즈마 생성기의 짧은 수명에 부분적으로 기인하였다. 본 발명은 파워링된(powered) 또는 활성화된 플라즈마 생성기로 가스상의 수소를 교번적으로 공급함으로써 그리고 전달 시스템 하류와 플라즈마 생성기의 플라즈마를 향하는 벽들을 일시적으로 패시베이팅하기 위해 언파워링된(unpowered) 플라즈마 생성기로 수증기를 공급함으로써 이러한 수소 플라즈마 생성기들에 쉽게 적용될 수 있다. 상기 데이터에 의해 나타낸 것처럼, 패시베이션 기간은 플라즈마 생성 기간보다 실질적으로 더 짧을 수 있고, 이에 의해 총 세정 수율이 악영향을 받지 않는다. 플라즈마 생성기의 출력 빔은 세정 및 패시베이션 단계들 모두 동안 기판으로 지향될 수 있으나, 일부 응용예들은 수증기가 프로세싱되는 기판 또는 수소 플라즈마의 최종 이용자으로부터 멀리 지향되는 경우에 유리할 수 있다.
따라서, 본 발명은 세정 프로세스를 개선시키고, 수율, 및 시스템의 복잡성 및 비용과 그 작동에 대해 거의 영향을 미치지 않는 컴포넌트들 및 챔버 부품들의 수명을 증가시킨다.

Claims (22)

  1. 유전체 금속 산화물 벽을 포함하는 플라즈마 프로세싱 챔버에서 다공성의 낮은-k 유전체를 포함하는 기판을 프로세싱하기 위한 패시베이션(passivation) 방법으로서,
    상기 기판을 포함하는 프로세싱 챔버로 비여기 상태의 패시베이팅 가스(passivating gas)를 주입하는 단계; 및
    이어서 상기 프로세싱 챔버에서 수소를 포함하는 프로세싱 가스의 플라즈마로 상기 기판을 프로세싱하는 단계를 포함하고,
    상기 패시베이팅 가스가 수소 플라즈마로부터 상기 유전체 금속 산화물 벽의 열화를 방지하도록 CH4, CO, CO2 및 수증기로 이루어진 그룹으로부터 선택된 가스를 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하기 위한 패시베이션 방법.
  2. 제 1 항에 있어서,
    상기 패시베이팅 가스가 수증기인,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하기 위한 패시베이션 방법.
  3. 삭제
  4. 삭제
  5. 제 2 항에 있어서,
    상기 프로세싱 챔버가 상기 프로세싱 챔버의 내부에 연결된 출력부를 갖는 원격 플라즈마 소스를 포함하고, 상기 수증기 및 프로세싱 가스가 상기 원격 플라즈마 소스로 주입되며, 상기 원격 플라즈마 소스가 상기 주입 단계 동안에는 비활성화되어 있으나 상기 프로세싱 단계 동안에는 상기 프로세싱 가스를 상기 플라즈마로 여기시키기 위해 활성화되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세스하기 위한 패시베이션 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 프로세싱 가스가 (1) 수소와 (2) 수소 및 헬륨으로 이루어진 그룹으로부터 선택되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하기 위한 패시베이션 방법.
  7. 제 6 항에 있어서,
    상기 프로세싱 챔버가 상기 프로세싱 챔버의 내부로 연결되며 알루미나 벽을 포함하는 출력 튜브를 갖는 원격 플라즈마 소스를 포함하고, 상기 수증기 및 상기 프로세싱 가스가 상기 원격 플라즈마 소스로 주입되며, 상기 원격 플라즈마 소스가 상기 주입하는 단계 동안에는 알루미나 벽을 패시베이팅하기 위해 비활성화되어 있으나 상기 프로세싱하는 단계 동안에는 상기 프로세싱 가스를 상기 플라즈마로 여기시키기 위해 활성화되는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하기 위한 패시베이션 방법.
  8. 제 6 항에 있어서,
    상기 프로세싱 챔버는 상기 패시베이팅 가스 및 상기 프로세싱 가스가 관류하는, 상기 챔버와 상기 원격 플라즈마 소스 사이에 배치된 이온 필터를 더 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하기 위한 패시베이션 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 제 2 항에 있어서,
    상기 챔버로부터 수증기를 제거하기 위해 상기 주입하는 단계의 종료 이후 그리고 상기 플라즈마가 여기되기 이전에, 상기 프로세싱 챔버를 펌핑하는 단계를 더 포함하는,
    플라즈마 프로세싱 챔버에서 기판을 프로세싱하기 위한 패시베이션 방법.
  14. 플라즈마 프로세싱 챔버에서 수행되는 플라즈마 프로세싱 방법으로서,
    상기 플라즈마 프로세싱 챔버는 페데스탈, 상기 페데스탈에 대향하는 가스 샤워헤드, 및 원격 플라즈마 소스를 포함하고, 상기 원격 플라즈마 소스는 상기 샤워헤드의 뒤의 매니폴드로 그 출력을 연결시키며 알루미나 벽을 포함하는 공급 튜브를 가지고,
    상기 방법은 다공성의 낮은-k 유전체를 포함하는 복수의 연속적으로 프로세싱되는 기판의 각각에 대해 수행되는 하기의 단계들을 포함하며,
    상기 단계들은,
    상기 플라즈마 프로세싱 챔버로 기판을 삽입하고 상기 페데스탈 상에 상기 기판을 지지하는 단계;
    수소 플라즈마로부터 상기 알루미나 벽의 열화를 방지하기 위하여, 상기 원격 플라즈마 소스가 플라즈마를 형성하도록 활성화되지 않는 동안에 상기 원격 플라즈마 소스를 통해 수증기를 통과시키는 단계;
    이어서 상기 원격 플라즈마 소스를 통해 수소를 포함하는 환원 프로세싱 가스를 통과시키고 상기 환원 프로세싱 가스를 플라즈마로 여기시키는 단계; 및
    이어서 상기 플라즈마를 소멸시키고 상기 플라즈마 프로세싱 챔버로부터 상기 기판을 제거하는 단계를 포함하는,
    플라즈마 프로세싱 방법.
  15. 제 14 항에 있어서,
    상기 환원 프로세싱 가스가 어떠한 수증기 및 산소도 포함하지 않는,
    플라즈마 프로세싱 방법.
  16. 삭제
  17. 삭제
  18. 패시베이션 및 프로세싱 방법으로서,
    다공성의 낮은-k 유전체를 포함하는 프로세싱될 기판을 포함하고 또한 유전체 금속 산화물 벽을 포함하는 진공 프로세싱 챔버로 비여기된 수증기를 주입하는 단계로서, 상기 비여기된 수증기의 주입에 의해 수소 플라즈마로부터 상기 금속 산화물 벽의 열화를 방지하는, 진공 프로세싱 챔버로 비여기된 수증기를 주입하는 단계;
    이어서 상기 진공 프로세싱 챔버로부터 상기 수증기를 제거하기 위해 상기 진공 프로세싱 챔버를 펌핑하는 단계; 및
    이후에 상기 진공 프로세싱 챔버 내에서 상기 기판을 프로세싱하기 위해 수소를 포함하는 프로세싱 가스를 플라즈마로 여기시키는 단계를 포함하는,
    패시베이션 및 프로세싱 방법.
  19. 삭제
  20. 제 18 항에 있어서,
    상기 수증기 및 상기 프로세싱 가스는 원격 플라즈마 소스로 유동되고, 상기 원격 플라즈마 소스는 알루미나 벽을 포함하는 튜브를 통해 플라즈마 프로세싱 챔버로 그 출력을 전달하고, 상기 원격 플라즈마 소스는 상기 주입하는 단계 동안에는 비활성화되고 상기 여기시키는 단계 동안에는 활성화되는,
    패시베이션 및 프로세싱 방법.
  21. 삭제
  22. 삭제
KR1020087021271A 2006-02-10 2007-01-30 플라즈마를 향한 벽의 수증기 패시베이션 KR101364440B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/351,676 US7695567B2 (en) 2006-02-10 2006-02-10 Water vapor passivation of a wall facing a plasma
US11/351,676 2006-02-10
PCT/US2007/002546 WO2007094961A2 (en) 2006-02-10 2007-01-30 Water vapor passivation of a wall facing a plasma

Publications (2)

Publication Number Publication Date
KR20080100220A KR20080100220A (ko) 2008-11-14
KR101364440B1 true KR101364440B1 (ko) 2014-02-17

Family

ID=38368893

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087021271A KR101364440B1 (ko) 2006-02-10 2007-01-30 플라즈마를 향한 벽의 수증기 패시베이션

Country Status (6)

Country Link
US (1) US7695567B2 (ko)
JP (1) JP5260318B2 (ko)
KR (1) KR101364440B1 (ko)
CN (1) CN101379213B (ko)
TW (1) TWI342241B (ko)
WO (1) WO2007094961A2 (ko)

Families Citing this family (306)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100468611C (zh) 2003-02-14 2009-03-11 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US20080081464A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Method of integrated substrated processing using a hot filament hydrogen radical souce
US7807579B2 (en) 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20100258510A1 (en) * 2009-04-10 2010-10-14 Applied Materials, Inc. Methods and apparatus for treating effluent
US8193075B2 (en) * 2009-04-20 2012-06-05 Applied Materials, Inc. Remote hydrogen plasma with ion filter for terminating silicon dangling bonds
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
WO2011028349A2 (en) * 2009-09-04 2011-03-10 Applied Materials, Inc. Remote hydrogen plasma source of silicon containing film deposition
TWI563582B (en) 2010-06-03 2016-12-21 Novellus Systems Inc Method of improving film non-uniformity and throughput
JP5827499B2 (ja) * 2010-06-11 2015-12-02 株式会社半導体エネルギー研究所 装置の表面処理方法
CN102376636B (zh) * 2010-08-24 2014-04-02 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102437012A (zh) * 2011-08-04 2012-05-02 上海华力微电子有限公司 一种改进的干法刻蚀腔体
JP2013074093A (ja) * 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
KR20130049364A (ko) * 2011-11-04 2013-05-14 피에스케이 주식회사 플라스마 공급 유닛 및 이를 포함하는 기판 처리 장치
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
CN103545163B (zh) * 2012-07-10 2016-11-16 中芯国际集成电路制造(上海)有限公司 具有氟残留或氯残留的半导体结构的处理方法
KR102192281B1 (ko) * 2012-07-16 2020-12-18 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
CN103605267B (zh) * 2013-10-23 2017-04-12 上海华力微电子有限公司 远程射频等离子体源的隔离结构
CN103646916B (zh) * 2013-11-28 2016-03-23 上海华力微电子有限公司 改善hdp psg工艺的方法及金属沉积前的介电质层制造方法
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9472415B2 (en) * 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US10039157B2 (en) * 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
US10192717B2 (en) 2014-07-21 2019-01-29 Applied Materials, Inc. Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
KR102262107B1 (ko) * 2014-08-29 2021-06-10 세메스 주식회사 기판 처리 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
WO2016118088A1 (en) * 2015-01-22 2016-07-28 Chan Chia Sern Non-thermal soft plasma cleaning
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102504290B1 (ko) 2015-12-04 2023-02-28 삼성전자 주식회사 수소 플라스마 어닐링 처리 준비 방법, 수소 플라스마 어닐링 처리 방법, 및 수소 플라스마 어닐링 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) * 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11222769B2 (en) 2017-05-26 2022-01-11 Applied Materials, Inc. Monopole antenna array source with gas supply or grid filter for semiconductor process equipment
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
TWI794238B (zh) * 2017-07-13 2023-03-01 荷蘭商Asm智慧財產控股公司 於單一加工腔室中自半導體膜移除氧化物及碳之裝置及方法
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN110998788B (zh) * 2017-08-01 2024-08-23 应用材料公司 金属氧化物后处理方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102414617B1 (ko) 2017-08-17 2022-07-01 삼성전자주식회사 기판 처리 장치 및 이의 세정 방법
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10269574B1 (en) * 2017-10-03 2019-04-23 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11387111B2 (en) 2018-04-13 2022-07-12 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
WO2020081226A1 (en) 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131634A1 (en) * 2018-10-26 2020-04-30 Asm Ip Holding B.V. High temperature coatings for a preclean and etch apparatus and related methods
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
CN118471789A (zh) 2018-11-16 2024-08-09 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220024997A (ko) * 2019-06-26 2022-03-03 램 리써치 코포레이션 인 시츄 패시베이션을 통한 챔버-축적 확장
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111112236B (zh) * 2019-12-24 2024-08-20 苏州创瑞机电科技有限公司 介质阻挡放电等离子钝化装置及其钝化方法
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN111261554A (zh) * 2020-01-19 2020-06-09 长江存储科技有限责任公司 清洗装置及方法
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
CN113422290B (zh) * 2021-08-24 2024-05-14 度亘激光技术(苏州)有限公司 半导体结构钝化方法及设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115274923A (zh) * 2022-07-20 2022-11-01 无锡松煜科技有限公司 一种光伏N型TOPCon电池ALD钝化膜制造工艺

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05114582A (ja) * 1991-10-22 1993-05-07 Tokyo Electron Yamanashi Kk 真空処理装置
JP2005236053A (ja) * 2004-02-20 2005-09-02 Matsushita Electric Ind Co Ltd プラズマ処理方法およびプラズマ処理装置
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3417072B2 (ja) * 1994-08-15 2003-06-16 ソニー株式会社 半導体装置の製法
US5581156A (en) * 1995-07-31 1996-12-03 The United States Of America As Represented By The Secretary Of The Army HF sustained, DC discharge driven negative ion source with automatic control system
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
US20020182385A1 (en) * 2001-05-29 2002-12-05 Rensselaer Polytechnic Institute Atomic layer passivation
US20030029837A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Dielectric etch plasma chamber utilizing a magnetic filter to optimize plasma characteristics
US20030183245A1 (en) * 2002-04-01 2003-10-02 Min-Shyan Sheu Surface silanization
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US6921556B2 (en) * 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
CN100468611C (zh) * 2003-02-14 2009-03-11 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4748581B2 (ja) * 2005-12-20 2011-08-17 株式会社アルバック 真空処理装置及び真空処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05114582A (ja) * 1991-10-22 1993-05-07 Tokyo Electron Yamanashi Kk 真空処理装置
JP2005236053A (ja) * 2004-02-20 2005-09-02 Matsushita Electric Ind Co Ltd プラズマ処理方法およびプラズマ処理装置
JP2005260060A (ja) * 2004-03-12 2005-09-22 Semiconductor Leading Edge Technologies Inc レジスト除去装置及びレジスト除去方法、並びにそれを用いて製造した半導体装置

Also Published As

Publication number Publication date
TWI342241B (en) 2011-05-21
US20070190266A1 (en) 2007-08-16
CN101379213B (zh) 2013-03-20
US7695567B2 (en) 2010-04-13
TW200744765A (en) 2007-12-16
KR20080100220A (ko) 2008-11-14
CN101379213A (zh) 2009-03-04
WO2007094961A2 (en) 2007-08-23
JP5260318B2 (ja) 2013-08-14
WO2007094961A3 (en) 2008-01-17
JP2009526399A (ja) 2009-07-16

Similar Documents

Publication Publication Date Title
KR101364440B1 (ko) 플라즈마를 향한 벽의 수증기 패시베이션
US8580354B2 (en) Plasma treatment of substrates prior to deposition
US7704887B2 (en) Remote plasma pre-clean with low hydrogen pressure
JP4690308B2 (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
US6569257B1 (en) Method for cleaning a process chamber
KR100971045B1 (ko) 수증기 및 희석 가스를 이용하여 강화되는 수소 애슁 방법
KR100770916B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치의 제조 장치
JP6921990B2 (ja) 超伝導体相互接続のための予洗浄および堆積の方法
KR20170022922A (ko) 텅스텐 및 다른 금속들의 원자층 에칭
JP2000311889A (ja) プラズマエッチングを特長とする腐食防止表面のエッチング後の処理方法
JP4911936B2 (ja) プラズマアッシング方法
WO2022055876A1 (en) Systems and methods for aluminum-containing film removal
KR100648329B1 (ko) 이중 주파수 플라즈마 에칭 챔버에서 금속 산화물의 환원
IL176591A (en) Method of imitation of a conductive material exposed to a passive feature
JP2009032920A (ja) プラズマエッチング方法、プラズマエッチング装置、および記憶媒体
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
KR100433098B1 (ko) 비-클로로플루오로카본, 불소계 화합물을 이용한 비등방성플라즈마 에칭 방법
US6070599A (en) Non-plasma halogenated gas flow to prevent metal residues
JP2003124308A (ja) 金属配線のコンタクト領域の洗浄方法
JP3887123B2 (ja) ドライエッチング方法
JPH0697127A (ja) 配線形成方法
JP2004165420A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190207

Year of fee payment: 6